Zegar Nixie


8.57143
Ocena: None Średnia: 8.6 (7 głosujących)

Urządzenie to jak każdy inny zagar służy do odmierzania czasu, jednak jego niezwykłość przejawia się w zastosowanym wyświetlaczu, którym są cztery lampy Nixie typu LC513. Odmierzaniem czasu zajmuje się układ zegara czasu rzeczywistego PCF8583 a całość sterowana jest za pomocą mikrokontrolera ATMega8. W celu poprawnego odliczania czasu podczas zaniku napięcia zasilającego zastosowano kondensator elektrolityczny o dużej pojemności. Lampy zasilane za pomocą Przetwornicy 9V/150V dzięki czemu nie jest konieczne stosowanie dwóch transformatorów. Zegar taki po wyposażeniu w drewnianą obudowę będzie znakomitą i nietypową ozdobą w każdym domu.



Działanie:


Schemat ideowy sterownika przedstawiony jest na rysunku poniżej:

Sercem układu jest mikrokontroler U1 (ATMega8). Pracuje on na wewnętrznym oscylatorze RC o częstotliwości 8MHz przez co dwa wolne wyprowadzenia zostały wykorzystane do sterowania katod wyświetlacza. Odmierzaniem czasu zajmuje się układ U2 (PCF8583) pracujący z rezonatorem kwarcowym X1 (32,768kHz) i kondensatorem/trymerem C3 (33pF). Jest to popularny i często stosowany układ RTC (real-time clock), zegar czasu rzeczywistego komunikujący się z mikrokontrolerem za pomocą magistrali I2C. Dioda D1 (1N4007) i kondensator C4 (4700uF/5V) stanowią prosty obwód podtrzymania na kilka godzin zasilania dla układu U2 w przypadku zaniku napięcia sieci. Rezystory R17 (3,3k) i R18 (3,3k) podciągają wyprowadzenia mikrokontrolera, stanowiące magistralę I2C, do plusa zasilania. Złącze SW (Goldpin) służy do podłączenia czterech przycisków sterujących pracą zegara. Tranzystor T11 (BC558) wraz z rezystorami R15 (3,3k) i R16 (3,3k) stanowią obwód sterujący brzęczykiem B1, który pełni rolę sygnału budzenia. Złącza GpA (Goldpin) i GpK (Goldpin) zapewniają połączenie między płytką sterownika i płytką wyświetlacza. Złącze Prog oraz rezystory R11 - R14 (330R) tworzą interfejs umożliwiający programowanie mikrokontrolera U1. Do zasilania płytki sterownika służy prosty zasilacz zbudowany w oparciu o stabilizator U3 (7805) i kondensatory C1 (220uF) i C2 (47uF). Tranzystory wysokonapięciowe T1 - T2 (MPSA42) wraz z rezystorami R1 - R10 (33k) sterują pracą katod lamp wyświetlacza.

Na rysunku poniżej przedstawiony jest schemat ideowy wyświetlacza:

Lampy L1 - L4 (LC513) podłączone są tak aby umożliwić ich multipleksowanie. Tranzystory T1 (MPSA92) i T2 (MPSA42) wraz z rezystorami R1 (33k), R2 (470k) i R3 (100k) są odpowiedzialne za dołączanie anody lampy L1 do wysokiego napięcia podczas multipleksowania. Prąd płynący przez L1 ograniczony jest za pomocą rezystora R13 (47k). Pozostałe lampy zasilane są w identyczny sposób za pomocą tranzystorów T3 - T8 i rezystorów R4 - R12. Neonówka stanowiąca punkt oddzielający minuty od godzin jest sterowana tranzystorem T9 (MPSA42). Rezystor R18 (220k) ogranicza jej prąd. Złacza GpA i GpK służą do podłączenia płytek zegara z sobą natomiast złącze HV jest punktem podłączenia przetwornicy podwyższającej zapewniającej wysokie napięcie dla lamp wyświetlacza.

Budowa:


Sterownik zegara można zbudować na płytce drukowanej dostępnej tutaj. Rysunek w odbiciu lustrzanym znajduje się tutaj. Montaż należy rozpocząć od wlutowania dwóch zworek a następnie należy zamontować wszystkie rezystory. Pod mikrokontroler U1 oraz układ RTC U2 dobrze jest wlutować podstawkę. Buzzer B1 należy umieścić po stronie druku, a następnie w tylnej ściance obudowy wykonać niewielki otwór (otwory). Zwiększy to natężenie dźwieku słyszanego z wnętrza obudowy. Zamiast kondensatora C3 dobrze jest zastosować dobrej jakości trymer 4-40pF wlutowany od strony druku. Kondensator Elektrolityczny C4 stanowiący źródło zasilania awaryjnego należy zamontować w pozycji leżącej. Stabilizator U3 po wlutowaniu w pozycji leżącej będzie wystawał poza płytkę. Żeby temu zaradzić można zastosować 78L05 w małej obudowie. Złącza GpA i GpK powinny być złączami szufladkowymi w które zostaną wpięte goldpiny na płytce wyświetlacza. Uwaga!! Na płytce brakuje kondensatora 100nF MKT, należy go wlutować od spodu płytki między nóżkami 4 i 8 układu U2. Pomocą przy konstrukcji może okazać się schemat montażowy dostępny tutaj.

Wyświetlacz można zbudować w oparciu o płytkę drukowaną dostępną tutaj. Rysunek w odbiciu lustrzanym znajduje się tutaj. Lutowanie rozpoczynamy od zamontowania wszystkich zworek a następnie wszystkie małe elementy. Na samym końcu zabieramy się do montażu lamp i neonówki. Pod lampy zamiast podstawek najlepiej jest wykorzystać piny do złącz żeńskich typu BLS (wtyki na goldpiny montowane na kabel, takie jak tutaj) lutując w miejsce kabla pojedyńczy goldpin a następnie całość w płytkę. Na wystający pin można nałożyć kawałek koszulki termokurczliwej jak to widać na fotografiach na dole strony. Pomocą przy konstrukcji może okazać się schemat montażowy dostępny tutaj.

Obudowę do zegara najlepiej zrobić z drewna dębowego. Projekt dostępny jest tutaj. Do wykonania tej konstrukcji potrzebne jest około 70cm listwy o grubośći 8mm i szerokości 8cm. Należy zwrócić uwagę na to aby wspomniana listwa była dobrze wyheblowana i miała jednakową szerokość na całej długości (nie musi być dokładnie 8cm). Ułatwi to klejenie całości i wyeliminuje możliwość powstania szpar. Płytki w obudowie można zamocować za pomocą kleju termotopliwego. Moja obudowa "prototyp" widoczna na zdjęciach nie jest wykonana dokładnie według opisywanego projektu, gdyż on powstał na jej podstawie. Projekt posiada kilka drobnych poprawek :).

Podłączenie klawiatury:


PinC.0 +Minuty (wejdź do nastaw budzika)
PinC.1 -Minuty (włącz budzik)
PinC.2 +Godziny (wejdź do nastaw czasu)
PinC.3 -Godziny (wyłącz budzik)

Wykaz Elementów:


1x Zlacze Srubowe ARK2(podwójne)
1x Listwa Goldpin 1x2
1x Listwa Goldpin 1x6
1x Listwa Goldpin 1x10
1x Listwa Goldpin 1x5
1x Zlacze Szufladkowe 1x8
1x Zlacze Szufladkowe 1x6
1x Zlacze Szufladkowe 1x10
52x Piny do złącz żeńskich typu BLS (na goldpiny)
4x uSwitch 12x12mm (lub inny przycisk N.O.)

4x 330R
2x 3,3k
15x 33k
4x 47k
4x 100k
1x 220k
4x 470k

1x 33pF
1x 47uF Elektrolit
1x 220uF Elektrolit
1x 4700uF/5V Elektrolit

1x Neonowka
4x Lampa Nixie LC513

1x Rezonator Kwarcowy 32768Hz
1x 1N4007
15x MPSA42
4x MPSA92
1x BC558
1x Stabilizator 7805
1x Mikrokontroler ATMEGA8 + Podstawka
1x Uklad RTC PCF8583
1x Buzzer z Generatorem 5V

Programowanie:


Mikrokontroler do sterownika został zaprogramowany w wersji demo programu BASCOM AVR firmy MCS Electronics (http://www.mcselec.com/). Program przedstawiony jest poniżej:

'************* Konfiguracja uC *****************
'***********************************************
$regfile = "m8def.dat"
$crystal = 8000000              'oscylator RC wewnetrzny
 
 
Config Portb = &B11111111 : Portb = &B00000001
Config Portc = &B00000000 : Portc = &B11111111
Config Portd = &B11111111 : Portd = &B00000000
 
 
Config Scl = Portc.5
Config Sda = Portc.4
I2cinit
 
Config Timer0 = Timer , Prescale = 64
Enable Timer0 : On Timer0 Prztimer0
 
Enable Interrupts
'********** Konfiguracja uC Koniec  ************
 
'******************  Zmienne *******************
'***********************************************
Dim Flaga4ms As Bit , Flaga05s As Bit
 
Dim Co4ms As Byte , Co05s As Byte
Dim Godziny As Byte , Minuty As Byte , Sekundy As Byte
Dim Godziny_budz As Byte , Minuty_budz As Byte
Dim Godziny_bcd As Byte , Minuty_bcd As Byte , Sekundy_bcd As Byte
 
Dim Mux As Byte
Dim Stan_katod As Byte
Dim Wartosc_wysw(2) As Byte
 
Dim Neonowka As Bit
Dim Licznik_sw As Byte , Stan_portu As Byte , Sw_port As Byte
Dim Poz_menu As Byte
 
Dim Zezwolenie_budz As Bit
Dim Autopowrot_ust(2) As Byte
Dim Temp As Byte
Dim Sygnal_budzenia As Byte
'*************  Zmienne Koniec  ****************
'************ Warunki Poczatkowe ***************
'***********************************************
Poz_menu = 0
Flaga05s = 1
Readeeprom Temp , 2                                 
If Temp = 100 Then Zezwolenie_budz = 1 Else Zezwolenie_budz = 0
Readeeprom Godziny_budz , 3
Readeeprom Minuty_budz , 4
If Godziny_budz > 23 Then Godziny_budz = 8
If Minuty_budz > 59 Then Minuty_budz = 0
'*******  Warunki Poczatkowe Koniec  ***********
'**************  Petla glowna ******************
'***********************************************
Do
  If Flaga4ms = 1 Then
    Flaga4ms = 0
    Gosub Wyswietl
    Gosub Przyciski
  End If
 
  If Flaga05s = 1 Then
    Flaga05s = 0
    Neonowka = Not Neonowka
    Select Case Poz_menu
      Case 0:                                               ' odczyt czasu z rtc
        I2cstart
        I2cwbyte 162                                        'adres zapisu
        I2cwbyte 2                                          'rejestr sekund
        I2cstart
        I2cwbyte 163                                        'adres odczytu
        I2crbyte Sekundy_bcd , Ack
        I2crbyte Minuty_bcd , Ack
        I2crbyte Godziny_bcd , Nack
        I2cstop
        Sekundy = Makedec(sekundy_bcd)
        Minuty = Makedec(minuty_bcd)
        Godziny = Makedec(godziny_bcd)
      Case 1:                                             
        Incr Autopowrot_ust(1)
        If Autopowrot_ust(1) = 8 Then
          Gosub Zapis_rtc
          Poz_menu = 0
        End If
      Case 2:                                              
        Incr Autopowrot_ust(2)
        If Autopowrot_ust(2) = 8 Then
          Writeeeprom Godziny_budz , 3
          Writeeeprom Minuty_budz , 4
          Poz_menu = 0
        End If
    End Select
 
    If Sygnal_budzenia > 0 Then
      Portb.0 = 0
      Decr Sygnal_budzenia
      If Sygnal_budzenia = 0 Then Portb.0 = 1
    End If
 
    Gosub Budzenie
 
  End If
Loop
End
'************ Petla glowna Koniec **************
'************ Przerwanie timer 0 ***************
'***********************************************
Prztimer0:
  'Incr Co4ms
  'If Co4ms >= 2 Then
      'Co4ms = 0
    Set Flaga4ms                         'dziala co 2 ms  mimo nazwy
    Incr Co05s
    If Co05s >= 250 Then
      Co05s = 0
      Set Flaga05s
    End If
  'End If
 
Return
'******** Przerwanie timer 0 Koniec ************
 
'***********************************************
Wyswietl:
  Incr Mux
  If Mux = 8 Then Mux = 0
  Select Case Poz_menu
    Case 0:                                                 'pokazuj czas
      Portb.1 = Neonowka
      Wartosc_wysw(1) = Godziny                             'wysw 1 i 2
      Wartosc_wysw(2) = Minuty                              'wysw 3 i 4
    Case 1:                                                 'ustawiaj czas
      Portb.1 = 1
      Wartosc_wysw(1) = Godziny                             'wysw 1 i 2
      Wartosc_wysw(2) = Minuty                              'wysw 3 i 4
    Case 2:                                                 'ustaw budzik
      Portb.1 = 0
      Wartosc_wysw(1) = Godziny_budz                        'wysw 1 i 2
      Wartosc_wysw(2) = Minuty_budz                         'wysw 3 i 4
  End Select
 
  Select Case Mux
    Case 0:
      Stan_katod = Wartosc_wysw(1) / 10
      If Stan_katod = 0 Then Stan_katod = 10        
      Gosub Ustaw_katody
      Set Portb.5
    Case 1:
      Reset Portb.5
    Case 2:
      Stan_katod = Wartosc_wysw(1) Mod 10
      Gosub Ustaw_katody
      Set Portb.4
    Case 3:
      Reset Portb.4
    Case 4:
      Stan_katod = Wartosc_wysw(2) / 10
      Gosub Ustaw_katody
      Set Portb.3
    Case 5:
      Reset Portb.3
    Case 6:
      Stan_katod = Wartosc_wysw(2) Mod 10
      Gosub Ustaw_katody
      Set Portb.2
    Case 7:
      Reset Portb.2
 
  End Select
Return
'***********************************************
Ustaw_katody:
  Select Case Stan_katod
    Case 0 :
      Portb.6 = 0 : Portb.7 = 0 : Portd = 128
    Case 1:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 64
    Case 2:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 32
    Case 3:
      Portb.6 = 0 : Portb.7 = 1 : Portd = 0
    Case 4:
      Portb.6 = 1 : Portb.7 = 0 : Portd = 0
    Case 5:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 16
    Case 6:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 8
    Case 7:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 4
    Case 8:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 2
    Case 9:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 1
    Case 10:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 0
  End Select
Return
'***********************************************
Przyciski:
  Sw_port = Pinc
  Sw_port = Sw_port Or &B11110000
  If Sw_port <> 255 And Stan_portu = Sw_port Then
    Incr Licznik_sw
    If Licznik_sw = 80 Then
      Licznik_sw = 0
      Select Case Stan_portu
        Case 247:                                           '-godziny
          Select Case Poz_menu
            Case 0:                                         'wylacz budzik
              Zezwolenie_budz = 0
              Temp = 0
              Writeeeprom Temp , 2
              Sygnal_budzenia = 2                           'sygnal na 0,5s
            Case 1:
              Decr Godziny
              If Godziny = 255 Then Godziny = 23
            Case 2:
              Decr Godziny_budz
              If Godziny_budz = 255 Then Godziny_budz = 23
          End Select
        Case 251:                                           '+godziny
          Select Case Poz_menu
            Case 0:                                         'wchodzi do ustawien czasu
              Poz_menu = 1
            Case 1:
              Incr Godziny
              If Godziny = 24 Then Godziny = 0
            Case 2:
              Incr Godziny_budz
              If Godziny_budz = 24 Then Godziny_budz = 0
          End Select
        Case 253:                                           '-minuty
          Select Case Poz_menu
            Case 0:                                         'wlacz budzik
              Zezwolenie_budz = 1
              Temp = 100
              Writeeeprom Temp , 2
              Sygnal_budzenia = 3                           'sygnal na 1s
            Case 1:
              Decr Minuty
              If Minuty = 255 Then Minuty = 59
            Case 2:
              Decr Minuty_budz
              If Minuty_budz = 255 Then Minuty_budz = 59
          End Select
        Case 254:                                           '+minuty
          Select Case Poz_menu
            Case 0:                                         'wchodzi do ustawien budzika
              Poz_menu = 2
            Case 1:
              Incr Minuty
              If Minuty = 60 Then Minuty = 0
            Case 2:
              Incr Minuty_budz
              If Minuty_budz = 60 Then Minuty_budz = 0
          End Select
       'Case 252:
      End Select
 
      Autopowrot_ust(1) = 0
      Autopowrot_ust(2) = 0
 
    End If
  Else
    Stan_portu = Sw_port
    Licznik_sw = 60
  End If
Return
'***********************************************
Zapis_rtc:
  Godziny_bcd = Makebcd(godziny)
  Minuty_bcd = Makebcd(minuty)
  Sekundy_bcd = 0
 
  I2cstart
  I2cwbyte 162
  I2cwbyte 0                                                'rejestr kontrolny
  I2cwbyte 8                                                'ustawienie rejestru zapisu daty
 
  I2cstop
  I2cstart
  I2cwbyte 162
  I2cwbyte 2
 
  I2cwbyte Sekundy_bcd
  I2cwbyte Minuty_bcd
  I2cwbyte Godziny_bcd
  I2cstop
Return
'***********************************************
Budzenie:
  If Zezwolenie_budz = 1 Then
    If Godziny = Godziny_budz And Minuty = Minuty_budz Then
      Portb.0 = Neonowka
    Else
      If Sygnal_budzenia = 0 Then Portb.0 = 1
    End If
  End If
Return
'***********************************************

Ustawienia fusebitów mikrokontrolera przedstawione są na rysunku poniżej(Zrzut z programu Burn-O-Mat):

Zdjęcia Projektu:

ZałącznikWielkość
Sterownik Schemat101.8 KB
Wyświetlacz Schemat41.45 KB
Sterownik Płytka17.31 KB
Sterownik Płytka (odbicie lustrzane)17.46 KB
Sterownik Płytka (3 na stronie)48.04 KB
Sterownik Montowanie23.51 KB
Wyświetlacz Płytka18.06 KB
Wyświetlacz Płytka (odbicie lustrzane)18.12 KB
Wyświetlacz Płytka (3 na stronie)49.29 KB
Wyświetlacz Montowanie22.59 KB
Kod Źródłowy9.28 KB
Program po kompilacji (BIN)2.21 KB
Program po kompilacji (HEX)6.23 KB
Obudowa (Projekt)47.84 KB
Obudowa (Maska do wiercenia otworów)24.47 KB



Portret użytkownika Mirek

Słaba jasność

Witam, mam problem z zegarem, mianowicie lampy nixie bardzo słabo świecą pobór prądu na anodzie przy napięciu 145V jest około 0,2mA, (zamiast lamp LC513 użyłem IN12), z tego co wyczytałem to obie te lampy mają podobne parametry, zamiast tranzystorów MSPA dałem BF422, nie wiem jaka może być przyczyna tak słabego świecenia lamp i dlaczego jest tak mały pobór prądu przez lampę, jak podłącze lampę przez opornik bezpośrednio do przetwornicy to świeci jasno.

Portret użytkownika mirley

Re: Nixie

Musisz tak dopasować rezystor i napięcie żeby przez lampę płynął prąd określony przez producenta. W zegarze lampy sterowane są multipleksowo, prąd pobierany jest impulsowo. Przydał by się oscyloskop aby zobaczyć kształt prądu. Możesz ustawić średnią wartość prądu zbliżoną do znamionowej (trochę niższą od znamionowej)

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika rafix

wyprowadzenia lamp

witam
chciałbym zapytać jeszcze raz o lampę lc-516, ponieważ takowe posiadam.
lc-513 ma 13 wyprowadzeń, a 516 - 11 wyprowadzeń.
513 ma dodatkowo wyprowadzenia PL i IC, więc jak zastosować 516.
z góry dziękuję i pozdrawiam

Portret użytkownika mirley

Re; lampy

Nie ma się tu nad czym zastanawiać, używane są tylko podstawowe wyprowadzenia lampu LC513. Musisz podłączyć tak żeby K0 było podłączone do K0, K1 do K1 ..... A do A, masz schemat wyżej na którym pokazane jest wszystko (która katoda do którego pinu złącza). Co z tego że są inne numery wyprowadzeń. Np tutaj masz rozpis http://pin.if.uz.zgora.pl/~jsieracki/images/MISC_TUBE/LC-516.pdf.

Nie możesz skorzystać z płytki którą mam zaprojektowaną bo wyprowadzenia są inne... czeka cię podłączanie kabelkami

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika drowning_man

z574mp

Witam,
czy ma ktos z was informacje na temat lamp Z574MP? Posiadam ów lampy i chciałem je zastosowac do tego zegara, ale musiałbym się dowiedzieć jakie mają wyprowadzenia, czy będą pasowąły do tego projektu.

Portret użytkownika rx

witam Cię mirley Mam problem

witam Cię mirley

Mam problem z zegarem a mianowicie: po podłączeniu zasilania pulsuje jedynie neonówka. lampy nie świecą wogóle. oczywiście połączenia i poprawność, a także przejścia i "zimne luty" sprawdzałem x razy, fuse bity takie same "jak na załączonym obrazku", napięcie z przetwornicy 145V. Do tranzystorów mpsa92 napięcie dochodzi, ale za rezystorem jest już ok.16-18V, jakby tranzystory nie były wysterowane z atmegi, może się mylę ale na chłopski rozum to one sterują anodami i powinno być tam HV.Jak by tego było mało to mój kolega ma dokładnie ten sam problem, robiliśmy zegar równocześnie, wymienialiśmy się spostrzeżeniami, ale składaliśmy osobno więc o jakiejś wspólnej pomyłce nie ma raczej mowy. Masz może pomysł co sprawdzić (napięcia na lampach, tranzystorach itp.)Może coś było z softem aktualizowane na stronie i wkradł sie jakiś błąd?.
pozdrawiam Cię i liczę na chwilę czasu z Twojej strony żeby się przyjrzeć problemowi.

Portret użytkownika mirley

Re: Nixie

drowning_man:
Poszukaj wyprowadzeń w internecie ale ja nie mogłem nic znaleźć.

rx:

Po pierwsze sprawdź czy świeci sam wyświetlacz, dając odpowiednie stany logiczne na złącza GpA i GpK, potem to samo bez procesora, zwierając piny w podstawce

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika drowning_man

No właseni z tym jest problem

No właseni z tym jest problem bo wpisująć z574mp w google to wyskakują tylko dwie strony i na żadnej nie ma opisu...

Portret użytkownika rx

witam ponownie możesz napisać

witam ponownie
możesz napisać które piny zwierać dla sprawdzenia, nie chciałbym "pozabijać" tych tranzystorów. :)
pzdr

Portret użytkownika rx

witam nota katalogowa jest

witam
nota katalogowa jest taka sama dla z573m i 574
(http://www.mif.pg.gda.pl/homepages/frank/sheets/084/z/Z573M.pdf)
pzdr

Portret użytkownika drowning_man

pdf

Dzięki wielkie za pdf'a:-).
Pozdrawiam.

Portret użytkownika rx

witam raz jeszcze Zegar

witam raz jeszcze
Zegar wkońcu "odpalił", ale:
napięcie podwyższałem stopniowo i przy 160V zaczął świecić, pełne wysterowanie ma przy ok. 180V i działa.
Pytanko: Wie ktoś może czy można zasilać takim napięciem bez szkody dla lamp?, może 25letnie lampy (nie używane) muszą się wygrzać.
Czy zmniejszyć napięcie do zalecanego a rezystor 47k wymienić na mniejszy?

Portret użytkownika mirley

Re: Nixie

Zmierz jakie napięcie masz bezpośrednio na lampach, czy nie wykracza poza dopuszczalną wartość.. poza tym i tak najważniejszy jest prąd jaki płynie przez lampę.... możesz go zmierzyć orientacyjnie poprzez pomiar spadku napięcia na rezystorze 47k (anodowym)

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika drowning_man

pytanie

Witam,
wyczytałem, że przetwornica dla jednej lampy LC513 pobiera około 100mA (moje lampy to z574mp), czyli do zasilenia całego układu wystarczy zasilacz o wydajności około 500mA, czy tak? Jeszcze jedno, czym można zastąpić tą małą neonówkę?

Portret użytkownika mirley

Re: NIXIE

Zasilacz taki może być. Neonówki nie da się niczym zastapić, możesz dać diodę LED przerabiając troszkę schemat i zamiast neonówki podłaczonej przez tranzystor do wysokiego napięcia diodę należy podłączyc między masę a port procesora (sterujący neonówką) poprzez rezystor 330R. Płytkę trzeba troszkę przerobić aby wysokie napięcie nie dostało się na diodę

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika drowning_man

odp

Dzięki za odpowiedź, poszukam neonówki, a jak nie to się pobawie z LEDem, nie jest to duża przerobka, no ale zawsze lepiej neonówka będzie się prezentować.

Portret użytkownika mirley

Re: Neonówka

Jeśli w sklepie nie mają takiej to rozglądnj się za czajnikiem bezprzewodowym, często sygnalizacja działania jest zrobiona właśnie na takiej neonówce

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika drowning_man

odp

A w przełącznikach do oświetlenia to też jest taka neonówka? Chodzi mi o przełącznik taki jak np montuje się w łazienkach lub na klatkach schodowych, że gdy światło nie świeci to podświetla się przełącznik, żeby po ciemku do niego trafić.

Portret użytkownika mirley

Re; Neonówka

No to nawet lepiej bo wyłącznik tańszy niż czajnik :)

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika rx

dzwięk budzika

witam Cię Mirley
jak/czy można zmienić dzwięk budzika, bo ten jest jak "cofająca ciężarówka". można zmienić czestotliwość "pisknięć" np razy 2 lub 3?
pzdr

Portret użytkownika mirley

Re: Nixie

Da się zmienić częstotliwość piknięć:

We fragmencie poniżej trzeba zmienić Przypisanie zmiennej na port budzika na dodatkową zmienną Beep:

Budzenie:
  If Zezwolenie_budz = 1 Then
    If Godziny = Godziny_budz And Minuty = Minuty_budz Then
      Portb.0 = Beep                    'zmiana w tej linii, Beep należy zadeklarować na początku w programie
    Else
      If Sygnal_budzenia = 0 Then Portb.0 = 1
    End If
  End If
Return
'***********************************************

potem przy obsłudze flagi 4ms dodajemy nową zmienną dzielnik która policzy odcinki po 4ms i da odpowiedni czas sygnału:

If Flaga4ms = 1 Then
    Flaga4ms = 0
    Gosub Wyswietl
    Gosub Przyciski
 
    incr Beep_dziel
    if Beep_dziel = 25 then     'tu jest 25 x4ms półokres przebiegu na brzęczyk
      beep_dziel = 0
      toggle Beep
    end if    
  End If

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika gosc

dziwne wyswietlanie

witam

mam dziwny problem z zegarem, mianowicie cyfra 5 wyświetla się razem z 6 tak jakby się nakładały na siebie(wyswietla np. 16:66 gdy jest 15:55). Problem pojawił się po ok.tygodniu nieprzerwanej pracy.Jakieś pomysły?? żadnych zwarć nie znalazłem.
pzdr

Portret użytkownika patryczek

:)

Wszystko fajnie tylko cięszko zdobyć takie lampy:(.

Portret użytkownika mirley

Re: Lampy

Lampy możesz dać dowolne, tylko płytkę z lampami będziesz musiał przerobić

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika wlodek

jaka przetwornica

Witam ,

Troche czasu uplynelo - mam nadzieje ze Pan jeszcze zaglada na te stronke...

Jaka przetwornice HV Pan zastosowal? W tresci nie moge znalezc szczegolow dotyczacych tego stopnia.
Pozdrawiam

Wlodek

Portret użytkownika mirley

Re: Przetwornica

Przetwornica opisana jest w miniprojektach na mojej stronie

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika bub

istnieją jakieś zamienniki

istnieją jakieś zamienniki LC513?

Portret użytkownika mirley

Re: Lampy

Prawie każda lampa może być. Wystarczy podłaczyc inaczej wyprowadzenia, zmienic rezystor ograniczający prąd tak aby przez lampe płynął prąd taki jak w karcie katalogowej. Ewentualnie podnieść lub obniżyć napięcie przetwornicy.

Nie znam żadnych bezpośrednich zamienników takich aby wyprowadzenia pasowały

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika bolek56

sterowanie katodą nixie

Witam, chciałbym zamiast neonówki pracującej jako kropka wykorzystać dodatkową lampę ze znakiem "~". W projekcie wykorzystam inne lampki, płytka przerobiona tylko zastanawiam się nad sterowaniem tym znakiem. Czy można to zrealizować tak jak sterowanie neonówką tzn. przy pomocy jednego tranzystora? (katoda do mpsa42)?
Zastanawiałem się też nad układem jak przy sterowaniu anodowym (tranzystory npn i pnp), z tym że anodę mojej lampki "~" podłączę bezpośrednio do zasilania a katodę do emitera mpsa92, kolektor tranzystora pnp przez rezystor ograniczający prąd katody do masy.
Pozdr.
Bolek56

Portret użytkownika mirley

Re: Lampa

Wydaje mi się żey wystarczy podłączyć tak jak neonówkę, popatrz do karty katalogowej jaki prąd ma mieć ta lampa i tak dobierz rezystor aby prąd wył odpowiedni.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Kapelarz

Lampy LC-513

Witam , na allegro znalazłem 2 odmiany tych lamp niestety nie mogę znaleźć not katalogowych czym się rożni LC-513 od LC513A

Portret użytkownika mirley

Re: Lampy

Wydaje mi się że ta z literką A nie ma dodatkowej "kropki" którą można zaświecić

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika dreadlocked

Witam, mam jedno pytanie,

Witam, mam jedno pytanie, mianowcie: jaki rezultat przyniesie zmiana wartosci 250 w ponizszym fragmencie kodu na wieksza?(przy jednoczesnym zadeklarowaniu zmiennej Co05s na "WORD")
'************ Petla glowna Koniec **************
'************ Przerwanie timer 0 ***************
'***********************************************
Prztimer0:
'Incr Co4ms
'If Co4ms >= 2 Then
'Co4ms = 0
Set Flaga4ms 'dziala co 2 ms mimo nazwy
Incr Co05s
If Co05s >= 250 Then ' TU ZMIENIAMY NA NP.500
Co05s = 0
Set Flaga05s
End If
'End If

Return
'******** Przerwanie timer 0 Koniec ************

Wykonałem zegar na ZM566m, jednak po wgraniu softu zauwazalne jest okresowe migniecie lampy, o ktorym byla mowa wyzej. Po zmianie wartosci na wieksza problem zniknal, jednak czestotliwosc migania neonowki jest duzo mniejsza:) Ogolnie chcialem zrezygnowac z neonowki/buzera na rzecz kolejnej lampy wyswietlajacej sekundy. Jednak nie jestem pewien efektu jaki moze wywolac powyzsza zmiana na dzialniu sekundnika. Temat przerwan to dla mnie czarna magia wiec prosze o jakas rade:)

Pozdrawiam.

Portret użytkownika mirley

Re: ZEgar Nixie

Zmiana ta spowoduje że wszystko wykonywane teraz co 0.5s będzie wykonywane co 1s. Odczyt czasu z zegara RTC będzie teraz co 1s. Może się zatem tak zdarzyć że czasem wyświetlacz sekund będzie stał dłużej przed odświeżeniem i nie będzie równo pokazywał upływających sekund. Trzeba to sprawdzić.

Autopowrot z ustawień będzie teraz 8s a nie 4s i budzik bedzie piskał wolniej, tak jak neonówka

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika dreadlocked

Właśnie przekonałem się o tym

Właśnie przekonałem się o tym w sposób doświadczalny:)

Przy większych wartościach pojawiają się przekłamania na wyświetlaczu sekund.
Neonówka miga dość chaotycznie.

Portret użytkownika mirley

Re: Zegar

To nie są przekłamania poprostu odczyt sekund jest za wolny. Czas jest liczony dobrze ale odczyt jest za wolny. Czytanie jest za wolne bo 1s w programie napewno nie jest 1s a coś niedokładnego np 0.95s itp. Więc co pewien czas program trafi tak że odczyta z zegara np 00:00:02 w chwili zmiany licznika sekund a po upływie niecałej sekundy odczyta drugi raz to samo, itp.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika mmuppet

Zegar

Mam pytanko. Czy dużo byłoby pracy z przerobieniem tego zegarka tak żeby pracował na poczwórnym wyświetlaczu diodowym i żeby można było zaprogramować kilka alarmów np. 4-5 alarmów w ciągu dnia. Po prostu potrzebuje zrobić taki zegarek dla starszej osoby, która powinna brać leki o określonej porze. Taki zegarek byłby bardzo pomocny. Zwykle zegarki nie mają możliwości ustawiania aż takiej liczby alarmów. Wiem że najprościej byłoby kupić taki zegarek, ale chciałbym się też czegoś nauczyć ponieważ zaczynam się uczyć bascoma i chciałbym jako pierwszy projekt wykonać coś przydatnego.

Portret użytkownika mirley

Re: Zegar

Bezsensem jest przerabiać ten zegar na inny wyświetlacz gdyż praktycznie cały składa się z układu wykonawczego dla lamp. Popatrz na projekt uniwersalnego sterownika czasowego na mojej stronie. Poza tym trzeba zrobić projekt takiego mini zegarka z alarmem a nie kombinowac z przerabianiem. Taki zegarek to procek+ wyswietlacz+ 3 przyciski + buzzer. Nie ma tu co wymyslać, ale roboty jest dużo. Na układzie sterownika czasowego dało by się to zrobić bo schemat jest prawie taki jak potrzeba

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika mmuppet

Zegar

Dziękuję za zainteresowanie i podpowiedź w temacie. Zaraz zasiadam i będę analizował zasugerowany projekt. O ewentualnych postępach nie omieszkam napisać. A może kogoś również zainteresuje mój problem, wiec zapraszam do korespondencji.

Portret użytkownika Mateusz

inne lampy

Witam mam pytanie czy zamiast lamp lc513 można zastosować lampy z573m ?

Portret użytkownika mirley

Re: Lampy

Można dać dowolne lampy pod warunkiem że uzgodnisz wyprowadzenia i zastosujesz odpowiednie rezystory ograniczające prąd. Porównaj karty katalogowe obu typów lamp

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika goscol

przerubka

czy możliwa jest przeróbka na wyświetlacze 7-segmentowe?

Portret użytkownika mirley

Re: Zegar nixie

Nie... to całkiem inna bajka

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika nixie

zegar

czy ta przetwornica na 150V jest już zintegrowana na płytce czy trzeba ją wykonać jako osobny moduł ??

Portret użytkownika mirley

Re: Przetwornica

nie ma tutaj przetwornicy, patrz w miniprojektach.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika nixie

zegar

Witam jak wydrukować pdf aby nóżki sie zgadzały?? ponieważ po wydrukowaniu nie zgadza mi sie rozstaw

Portret użytkownika mirley

Re; Pdf

Otwierasz pdfa programem Adobe Reader. Klikasz drukuj, pojawia się okno ustawień gdzie wybierasz opcję dopasowanie do strony: Brak. (może być to opcja skalowanie lub coś podobnego zależnie od wersji) Po wydrukowaniu sprawdzasz linijką czy znaczniki 3cm są dobrej długości. Program foxit reader jest do kitu i nie nadaje się do drukowania pdf

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika guziki

guziki

witam jak działają poszczególne guziki?? ponieważ robię obudowę i nie znalazłem w opisie nic o nich więc prosiłbym o odp który guzik do czego służy

Portret użytkownika mirley

Re: Przyciski

PC.0 : Ustaw budzik, zwiększ minuty jak ustawia
PC.1 : Włącz budzik, zmniejsz minuty
PC.2 : Ustaw godziny, zwiększ godziny
PC.3 : Wyłącz budzik, zmniejsz godziny

Powrót z ustawień jest automatyczny po kilku sekundach od nie przyciskania niczego, zerowane są wtedy sekundy czasu

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika guziki

niedziała zegarek

witam mam pytanie zbudowałem zegar i niedziała na przetwornicy jest napięcie 145V ale lampy nawet sie nie żarzą w czym może być problem?? atmega zaprogramowana prawidłowo