Zegar Nixie


8.57143
Ocena: None Średnia: 8.6 (7 głosujących)

Urządzenie to jak każdy inny zagar służy do odmierzania czasu, jednak jego niezwykłość przejawia się w zastosowanym wyświetlaczu, którym są cztery lampy Nixie typu LC513. Odmierzaniem czasu zajmuje się układ zegara czasu rzeczywistego PCF8583 a całość sterowana jest za pomocą mikrokontrolera ATMega8. W celu poprawnego odliczania czasu podczas zaniku napięcia zasilającego zastosowano kondensator elektrolityczny o dużej pojemności. Lampy zasilane za pomocą Przetwornicy 9V/150V dzięki czemu nie jest konieczne stosowanie dwóch transformatorów. Zegar taki po wyposażeniu w drewnianą obudowę będzie znakomitą i nietypową ozdobą w każdym domu.



Działanie:


Schemat ideowy sterownika przedstawiony jest na rysunku poniżej:

Sercem układu jest mikrokontroler U1 (ATMega8). Pracuje on na wewnętrznym oscylatorze RC o częstotliwości 8MHz przez co dwa wolne wyprowadzenia zostały wykorzystane do sterowania katod wyświetlacza. Odmierzaniem czasu zajmuje się układ U2 (PCF8583) pracujący z rezonatorem kwarcowym X1 (32,768kHz) i kondensatorem/trymerem C3 (33pF). Jest to popularny i często stosowany układ RTC (real-time clock), zegar czasu rzeczywistego komunikujący się z mikrokontrolerem za pomocą magistrali I2C. Dioda D1 (1N4007) i kondensator C4 (4700uF/5V) stanowią prosty obwód podtrzymania na kilka godzin zasilania dla układu U2 w przypadku zaniku napięcia sieci. Rezystory R17 (3,3k) i R18 (3,3k) podciągają wyprowadzenia mikrokontrolera, stanowiące magistralę I2C, do plusa zasilania. Złącze SW (Goldpin) służy do podłączenia czterech przycisków sterujących pracą zegara. Tranzystor T11 (BC558) wraz z rezystorami R15 (3,3k) i R16 (3,3k) stanowią obwód sterujący brzęczykiem B1, który pełni rolę sygnału budzenia. Złącza GpA (Goldpin) i GpK (Goldpin) zapewniają połączenie między płytką sterownika i płytką wyświetlacza. Złącze Prog oraz rezystory R11 - R14 (330R) tworzą interfejs umożliwiający programowanie mikrokontrolera U1. Do zasilania płytki sterownika służy prosty zasilacz zbudowany w oparciu o stabilizator U3 (7805) i kondensatory C1 (220uF) i C2 (47uF). Tranzystory wysokonapięciowe T1 - T2 (MPSA42) wraz z rezystorami R1 - R10 (33k) sterują pracą katod lamp wyświetlacza.

Na rysunku poniżej przedstawiony jest schemat ideowy wyświetlacza:

Lampy L1 - L4 (LC513) podłączone są tak aby umożliwić ich multipleksowanie. Tranzystory T1 (MPSA92) i T2 (MPSA42) wraz z rezystorami R1 (33k), R2 (470k) i R3 (100k) są odpowiedzialne za dołączanie anody lampy L1 do wysokiego napięcia podczas multipleksowania. Prąd płynący przez L1 ograniczony jest za pomocą rezystora R13 (47k). Pozostałe lampy zasilane są w identyczny sposób za pomocą tranzystorów T3 - T8 i rezystorów R4 - R12. Neonówka stanowiąca punkt oddzielający minuty od godzin jest sterowana tranzystorem T9 (MPSA42). Rezystor R18 (220k) ogranicza jej prąd. Złacza GpA i GpK służą do podłączenia płytek zegara z sobą natomiast złącze HV jest punktem podłączenia przetwornicy podwyższającej zapewniającej wysokie napięcie dla lamp wyświetlacza.

Budowa:


Sterownik zegara można zbudować na płytce drukowanej dostępnej tutaj. Rysunek w odbiciu lustrzanym znajduje się tutaj. Montaż należy rozpocząć od wlutowania dwóch zworek a następnie należy zamontować wszystkie rezystory. Pod mikrokontroler U1 oraz układ RTC U2 dobrze jest wlutować podstawkę. Buzzer B1 należy umieścić po stronie druku, a następnie w tylnej ściance obudowy wykonać niewielki otwór (otwory). Zwiększy to natężenie dźwieku słyszanego z wnętrza obudowy. Zamiast kondensatora C3 dobrze jest zastosować dobrej jakości trymer 4-40pF wlutowany od strony druku. Kondensator Elektrolityczny C4 stanowiący źródło zasilania awaryjnego należy zamontować w pozycji leżącej. Stabilizator U3 po wlutowaniu w pozycji leżącej będzie wystawał poza płytkę. Żeby temu zaradzić można zastosować 78L05 w małej obudowie. Złącza GpA i GpK powinny być złączami szufladkowymi w które zostaną wpięte goldpiny na płytce wyświetlacza. Uwaga!! Na płytce brakuje kondensatora 100nF MKT, należy go wlutować od spodu płytki między nóżkami 4 i 8 układu U2. Pomocą przy konstrukcji może okazać się schemat montażowy dostępny tutaj.

Wyświetlacz można zbudować w oparciu o płytkę drukowaną dostępną tutaj. Rysunek w odbiciu lustrzanym znajduje się tutaj. Lutowanie rozpoczynamy od zamontowania wszystkich zworek a następnie wszystkie małe elementy. Na samym końcu zabieramy się do montażu lamp i neonówki. Pod lampy zamiast podstawek najlepiej jest wykorzystać piny do złącz żeńskich typu BLS (wtyki na goldpiny montowane na kabel, takie jak tutaj) lutując w miejsce kabla pojedyńczy goldpin a następnie całość w płytkę. Na wystający pin można nałożyć kawałek koszulki termokurczliwej jak to widać na fotografiach na dole strony. Pomocą przy konstrukcji może okazać się schemat montażowy dostępny tutaj.

Obudowę do zegara najlepiej zrobić z drewna dębowego. Projekt dostępny jest tutaj. Do wykonania tej konstrukcji potrzebne jest około 70cm listwy o grubośći 8mm i szerokości 8cm. Należy zwrócić uwagę na to aby wspomniana listwa była dobrze wyheblowana i miała jednakową szerokość na całej długości (nie musi być dokładnie 8cm). Ułatwi to klejenie całości i wyeliminuje możliwość powstania szpar. Płytki w obudowie można zamocować za pomocą kleju termotopliwego. Moja obudowa "prototyp" widoczna na zdjęciach nie jest wykonana dokładnie według opisywanego projektu, gdyż on powstał na jej podstawie. Projekt posiada kilka drobnych poprawek :).

Podłączenie klawiatury:


PinC.0 +Minuty (wejdź do nastaw budzika)
PinC.1 -Minuty (włącz budzik)
PinC.2 +Godziny (wejdź do nastaw czasu)
PinC.3 -Godziny (wyłącz budzik)

Wykaz Elementów:


1x Zlacze Srubowe ARK2(podwójne)
1x Listwa Goldpin 1x2
1x Listwa Goldpin 1x6
1x Listwa Goldpin 1x10
1x Listwa Goldpin 1x5
1x Zlacze Szufladkowe 1x8
1x Zlacze Szufladkowe 1x6
1x Zlacze Szufladkowe 1x10
52x Piny do złącz żeńskich typu BLS (na goldpiny)
4x uSwitch 12x12mm (lub inny przycisk N.O.)

4x 330R
2x 3,3k
15x 33k
4x 47k
4x 100k
1x 220k
4x 470k

1x 33pF
1x 47uF Elektrolit
1x 220uF Elektrolit
1x 4700uF/5V Elektrolit

1x Neonowka
4x Lampa Nixie LC513

1x Rezonator Kwarcowy 32768Hz
1x 1N4007
15x MPSA42
4x MPSA92
1x BC558
1x Stabilizator 7805
1x Mikrokontroler ATMEGA8 + Podstawka
1x Uklad RTC PCF8583
1x Buzzer z Generatorem 5V

Programowanie:


Mikrokontroler do sterownika został zaprogramowany w wersji demo programu BASCOM AVR firmy MCS Electronics (http://www.mcselec.com/). Program przedstawiony jest poniżej:

'************* Konfiguracja uC *****************
'***********************************************
$regfile = "m8def.dat"
$crystal = 8000000              'oscylator RC wewnetrzny
 
 
Config Portb = &B11111111 : Portb = &B00000001
Config Portc = &B00000000 : Portc = &B11111111
Config Portd = &B11111111 : Portd = &B00000000
 
 
Config Scl = Portc.5
Config Sda = Portc.4
I2cinit
 
Config Timer0 = Timer , Prescale = 64
Enable Timer0 : On Timer0 Prztimer0
 
Enable Interrupts
'********** Konfiguracja uC Koniec  ************
 
'******************  Zmienne *******************
'***********************************************
Dim Flaga4ms As Bit , Flaga05s As Bit
 
Dim Co4ms As Byte , Co05s As Byte
Dim Godziny As Byte , Minuty As Byte , Sekundy As Byte
Dim Godziny_budz As Byte , Minuty_budz As Byte
Dim Godziny_bcd As Byte , Minuty_bcd As Byte , Sekundy_bcd As Byte
 
Dim Mux As Byte
Dim Stan_katod As Byte
Dim Wartosc_wysw(2) As Byte
 
Dim Neonowka As Bit
Dim Licznik_sw As Byte , Stan_portu As Byte , Sw_port As Byte
Dim Poz_menu As Byte
 
Dim Zezwolenie_budz As Bit
Dim Autopowrot_ust(2) As Byte
Dim Temp As Byte
Dim Sygnal_budzenia As Byte
'*************  Zmienne Koniec  ****************
'************ Warunki Poczatkowe ***************
'***********************************************
Poz_menu = 0
Flaga05s = 1
Readeeprom Temp , 2                                 
If Temp = 100 Then Zezwolenie_budz = 1 Else Zezwolenie_budz = 0
Readeeprom Godziny_budz , 3
Readeeprom Minuty_budz , 4
If Godziny_budz > 23 Then Godziny_budz = 8
If Minuty_budz > 59 Then Minuty_budz = 0
'*******  Warunki Poczatkowe Koniec  ***********
'**************  Petla glowna ******************
'***********************************************
Do
  If Flaga4ms = 1 Then
    Flaga4ms = 0
    Gosub Wyswietl
    Gosub Przyciski
  End If
 
  If Flaga05s = 1 Then
    Flaga05s = 0
    Neonowka = Not Neonowka
    Select Case Poz_menu
      Case 0:                                               ' odczyt czasu z rtc
        I2cstart
        I2cwbyte 162                                        'adres zapisu
        I2cwbyte 2                                          'rejestr sekund
        I2cstart
        I2cwbyte 163                                        'adres odczytu
        I2crbyte Sekundy_bcd , Ack
        I2crbyte Minuty_bcd , Ack
        I2crbyte Godziny_bcd , Nack
        I2cstop
        Sekundy = Makedec(sekundy_bcd)
        Minuty = Makedec(minuty_bcd)
        Godziny = Makedec(godziny_bcd)
      Case 1:                                             
        Incr Autopowrot_ust(1)
        If Autopowrot_ust(1) = 8 Then
          Gosub Zapis_rtc
          Poz_menu = 0
        End If
      Case 2:                                              
        Incr Autopowrot_ust(2)
        If Autopowrot_ust(2) = 8 Then
          Writeeeprom Godziny_budz , 3
          Writeeeprom Minuty_budz , 4
          Poz_menu = 0
        End If
    End Select
 
    If Sygnal_budzenia > 0 Then
      Portb.0 = 0
      Decr Sygnal_budzenia
      If Sygnal_budzenia = 0 Then Portb.0 = 1
    End If
 
    Gosub Budzenie
 
  End If
Loop
End
'************ Petla glowna Koniec **************
'************ Przerwanie timer 0 ***************
'***********************************************
Prztimer0:
  'Incr Co4ms
  'If Co4ms >= 2 Then
      'Co4ms = 0
    Set Flaga4ms                         'dziala co 2 ms  mimo nazwy
    Incr Co05s
    If Co05s >= 250 Then
      Co05s = 0
      Set Flaga05s
    End If
  'End If
 
Return
'******** Przerwanie timer 0 Koniec ************
 
'***********************************************
Wyswietl:
  Incr Mux
  If Mux = 8 Then Mux = 0
  Select Case Poz_menu
    Case 0:                                                 'pokazuj czas
      Portb.1 = Neonowka
      Wartosc_wysw(1) = Godziny                             'wysw 1 i 2
      Wartosc_wysw(2) = Minuty                              'wysw 3 i 4
    Case 1:                                                 'ustawiaj czas
      Portb.1 = 1
      Wartosc_wysw(1) = Godziny                             'wysw 1 i 2
      Wartosc_wysw(2) = Minuty                              'wysw 3 i 4
    Case 2:                                                 'ustaw budzik
      Portb.1 = 0
      Wartosc_wysw(1) = Godziny_budz                        'wysw 1 i 2
      Wartosc_wysw(2) = Minuty_budz                         'wysw 3 i 4
  End Select
 
  Select Case Mux
    Case 0:
      Stan_katod = Wartosc_wysw(1) / 10
      If Stan_katod = 0 Then Stan_katod = 10        
      Gosub Ustaw_katody
      Set Portb.5
    Case 1:
      Reset Portb.5
    Case 2:
      Stan_katod = Wartosc_wysw(1) Mod 10
      Gosub Ustaw_katody
      Set Portb.4
    Case 3:
      Reset Portb.4
    Case 4:
      Stan_katod = Wartosc_wysw(2) / 10
      Gosub Ustaw_katody
      Set Portb.3
    Case 5:
      Reset Portb.3
    Case 6:
      Stan_katod = Wartosc_wysw(2) Mod 10
      Gosub Ustaw_katody
      Set Portb.2
    Case 7:
      Reset Portb.2
 
  End Select
Return
'***********************************************
Ustaw_katody:
  Select Case Stan_katod
    Case 0 :
      Portb.6 = 0 : Portb.7 = 0 : Portd = 128
    Case 1:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 64
    Case 2:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 32
    Case 3:
      Portb.6 = 0 : Portb.7 = 1 : Portd = 0
    Case 4:
      Portb.6 = 1 : Portb.7 = 0 : Portd = 0
    Case 5:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 16
    Case 6:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 8
    Case 7:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 4
    Case 8:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 2
    Case 9:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 1
    Case 10:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 0
  End Select
Return
'***********************************************
Przyciski:
  Sw_port = Pinc
  Sw_port = Sw_port Or &B11110000
  If Sw_port <> 255 And Stan_portu = Sw_port Then
    Incr Licznik_sw
    If Licznik_sw = 80 Then
      Licznik_sw = 0
      Select Case Stan_portu
        Case 247:                                           '-godziny
          Select Case Poz_menu
            Case 0:                                         'wylacz budzik
              Zezwolenie_budz = 0
              Temp = 0
              Writeeeprom Temp , 2
              Sygnal_budzenia = 2                           'sygnal na 0,5s
            Case 1:
              Decr Godziny
              If Godziny = 255 Then Godziny = 23
            Case 2:
              Decr Godziny_budz
              If Godziny_budz = 255 Then Godziny_budz = 23
          End Select
        Case 251:                                           '+godziny
          Select Case Poz_menu
            Case 0:                                         'wchodzi do ustawien czasu
              Poz_menu = 1
            Case 1:
              Incr Godziny
              If Godziny = 24 Then Godziny = 0
            Case 2:
              Incr Godziny_budz
              If Godziny_budz = 24 Then Godziny_budz = 0
          End Select
        Case 253:                                           '-minuty
          Select Case Poz_menu
            Case 0:                                         'wlacz budzik
              Zezwolenie_budz = 1
              Temp = 100
              Writeeeprom Temp , 2
              Sygnal_budzenia = 3                           'sygnal na 1s
            Case 1:
              Decr Minuty
              If Minuty = 255 Then Minuty = 59
            Case 2:
              Decr Minuty_budz
              If Minuty_budz = 255 Then Minuty_budz = 59
          End Select
        Case 254:                                           '+minuty
          Select Case Poz_menu
            Case 0:                                         'wchodzi do ustawien budzika
              Poz_menu = 2
            Case 1:
              Incr Minuty
              If Minuty = 60 Then Minuty = 0
            Case 2:
              Incr Minuty_budz
              If Minuty_budz = 60 Then Minuty_budz = 0
          End Select
       'Case 252:
      End Select
 
      Autopowrot_ust(1) = 0
      Autopowrot_ust(2) = 0
 
    End If
  Else
    Stan_portu = Sw_port
    Licznik_sw = 60
  End If
Return
'***********************************************
Zapis_rtc:
  Godziny_bcd = Makebcd(godziny)
  Minuty_bcd = Makebcd(minuty)
  Sekundy_bcd = 0
 
  I2cstart
  I2cwbyte 162
  I2cwbyte 0                                                'rejestr kontrolny
  I2cwbyte 8                                                'ustawienie rejestru zapisu daty
 
  I2cstop
  I2cstart
  I2cwbyte 162
  I2cwbyte 2
 
  I2cwbyte Sekundy_bcd
  I2cwbyte Minuty_bcd
  I2cwbyte Godziny_bcd
  I2cstop
Return
'***********************************************
Budzenie:
  If Zezwolenie_budz = 1 Then
    If Godziny = Godziny_budz And Minuty = Minuty_budz Then
      Portb.0 = Neonowka
    Else
      If Sygnal_budzenia = 0 Then Portb.0 = 1
    End If
  End If
Return
'***********************************************

Ustawienia fusebitów mikrokontrolera przedstawione są na rysunku poniżej(Zrzut z programu Burn-O-Mat):

Zdjęcia Projektu:

ZałącznikWielkość
Sterownik Schemat101.8 KB
Wyświetlacz Schemat41.45 KB
Sterownik Płytka17.31 KB
Sterownik Płytka (odbicie lustrzane)17.46 KB
Sterownik Płytka (3 na stronie)48.04 KB
Sterownik Montowanie23.51 KB
Wyświetlacz Płytka18.06 KB
Wyświetlacz Płytka (odbicie lustrzane)18.12 KB
Wyświetlacz Płytka (3 na stronie)49.29 KB
Wyświetlacz Montowanie22.59 KB
Kod Źródłowy9.28 KB
Program po kompilacji (BIN)2.21 KB
Program po kompilacji (HEX)6.23 KB
Obudowa (Projekt)47.84 KB
Obudowa (Maska do wiercenia otworów)24.47 KB



Portret użytkownika guziki

niedziała zegarek

sprawdzilem i 3 lampy zaczynają świecić przy napięciu ok 200V ostatnia wogóle nieświeci przy 180V świecą 2 przy 170 świeci jedna jaka jest przyczyna??

Portret użytkownika mirley

Re: NIXIE

Sprawdzałeś czy lampa sama podłączona do przetwornicy przez rezystor 47k-100k świeci? Potem czy przy wyjętym procesorze zwieranie sygnałów w podstawce daje zaświecanie odpowiednich cyfr na wszystkich lampach? Układu nie można złożyć w całości zamknąć w obudowie i dopiero potem włączyć. Trzeba po kolei

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika guziki

lampy

a które styki należy łaczyć??

Portret użytkownika mirley

Re: Nixie

Przecież masz wyżej schemat..... na porcie B.1-B5 są anody, sterowane +5V (+5V włącza), natomiast cały port D oraz piny 9 i 10 to katody. Jak popatrzysz na schemat to też są sterowane +5V aby włączyć. Lutujesz sobie dwa kabelki do 5V, włączasz układ z wyjętym procesorem i przetwornicę. Dotykasz +5V w miejsce np PB5, nóżka 19. A drugim kabelkiem do do PD0, pin 2. Spowoduje to zaświecenie 9 na wyświetlaczu 1. Tak trzeba sprawdzić 40 kombinacji i neonówkę na PB1

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika gosc1236564

eagle

czy można liczyć na wysłanie projektów płytek w eaglu, bądź innym programie do tworzenia płytek?? mój email:

Portret użytkownika mirley

Re: NIXIE

Nie, tylko pdf

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika gosc1236564

witam czy można w pańskim

witam
czy można w pańskim projekcie zastosować nixie ZM5900? jeżeli tak to jakich zmian należało by dokonać?
dziękuję za odp

Portret użytkownika mirley

Re: Nixie

Nie mogę znaleźć karty katalogowej do tej lampy.... masz może namiary na pdf'a? Postępowanie jest raczej ogólne: Szukasz kart obu lamp i porównujesz parametry, Potem dopasowujesz rezystory ograniczające prąd tak aby płynął prąd znamionowy. Podłaczasz odpowiednio anodę i wszystkie katody do tranzystorów sterujących i powinno zadziałać

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika gosc1236564

czyli jak dobrze rozumiem po

czyli jak dobrze rozumiem po prostu odpowiednie wyprowadzenia lampy wlutowujemy na płytkę tak aby odpowiadały wyprowadzeniom lampy zastosowanej w zegarku?

Portret użytkownika gosc1236564

http://www.tube-tester.com/si

Portret użytkownika mirley

Re: nixie

Dokładnie tak powinno zadziałać.... Na początek zwiększ wartość rezystorów anodowych z 47k do 68k bo twoje lampy mają mniejszy prąd. Napięcie anodowe zwiększaj powoli mierząc spadek napięcia na rezystorze anodowym i licząc prąd. Dla twojej lampy z tego co patrze powinien on wynosić 1-2.5mA

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika gosc1236564

Dzięki za odpowiedź,świetny

Dzięki za odpowiedź,świetny projekt, gratuluje

Portret użytkownika Tomasss

problem z multipleksowaniem

Zbudowałem ten zegar lecz jest problem którego do końca nie rozumiem. problem jest następujący wszystkie cyfry sa zapalone nawet gdy atmega jest wyciągnięta.Pomierzyłem napięcia CE na tranzystorach T1-T10 .Bez wsadzonej atmegi napięcie CE wynosi 8.99V a jak wsadzę atmege to na złączu CE napięcie wynosi od 4v do 8,5v zależnie od wyprowadzenia. badałem czy Atmega odmierza czas poprzez podpięcie diody pod wyjście (15) Atmegi częstotliwość migania wynosi około 1 Hz. dodam że mam tylko dwie lampy włączone ponieważ nie posiadam dwóch tranzystorów MPSA42 aby mogły sterować anodami lamp.możliwe że dlatego jest ten problem?. Badałem tez stany logiczne z wyjść do sterowania katodami na wszystkich jest stan 0. Na wyjściach sterującymi anodami lamp są stany logiczne 1. Proszę o pomoc . Z góry dziekuje

Portret użytkownika mirley

Re: Zegar nixie

Jeśli nie ma atmegi lub program nie jest wgrany prawidłowo i fusy nie są prawidłowo ustawione to trudno przewidzieć co będzie na wyświetlaczu..... Żeby wyświetlacz nie świecił to tranzystory w sterowniku na bazaxh muszą mieć masę, podobnie jak na pinach sterujących anodami też musi być masa (basy tranzystorów T2 T4 T6 T8 na wyświetlaczu).

Co to jest dla ciebie napięcie CE?

Atmega mierzy czas, a w zasadzie go nie mierzy tylko odczytuje rejestry wewnętrzne układu PCF. Miganie 1Hz diody na tym pinie to dobry znak. Sprawdź zatem sam wyświetlacz wyjmując megę z podstawki i zwierając odpowiednie piny

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Tomasss_92

Witam. Ustawienie Fuse bitów

Witam. Ustawienie Fuse bitów są takie jak powyżej na rysunku : hfuse=C9 , lfuse=E4 , czyli na oscylator wewnętrzny 8MHz. Podłączałem diody do wyjść Atmegi. Wszędzie są stany niskie.Na wyjściach Atmegi które sterują anodami są stany wysokie. Napięcie CE (napięcie kolektor-emiter).Jeśli rozłączę wyświetlacz ze sterownikiem to na wyświetlaczu nic się nie wyświetla (oczywiste). Jeśli wyjmę Atmege to nic nie zmienia. Jeśli zwieram katody do masy to zapalają się odpowiednie cyfry.Gdy tylko połączę wyświetlacz ze sterownikiem to zapalają wszystkie cyfry. To tak wygląda jak by były spalone wszystkie tranzystory lecz nie są. Wyjąłem Atmege z podstawki i zwierałem odpowiednie piny. zauważyłem że zapalają się odpowiednie cyfry.Mam pytanie czy po wyciągnięciu Atmegi na wyświetlaczu powinno się coś wyświetlaczu czy na odwrót ?

Portret użytkownika mirley

Re; Nixie

Fusy ustaw hfuse=D9 , lfuse=E4, podawanie stanów wysokich na tranzystory w sterowniku powinno właczać kolejne katody. Zewrzyj sterowanie jednej z anod a nastepnie podawaj na kolejne tranzystory 5V. Powinno sie zapalać

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Tomasss_92

Zegarek Działa

Witam. zrobiłem zegarek wina leżała po mojej stronie . Nie zauważyłem że połowa tranzystorów to MPSA92 w sklepie sprzedano mi 15 sztuk z czego 8 to były MPSA 92 a 7 sztuk to MPSA42 z stąd były te problemy. Dziękuję mimo wszystko za rady. zegarek działa znakomicie.Efekt jest powalający. Pozdrawiam

Portret użytkownika arturooo

jaki zasilacz

Witam jakim zasilaczem zasilać ten zegarek?
Chce kupic zasilacz 9 V ale nie wiem ile amper:500mA wystarczy?

Portret użytkownika Wiktor_Krokodyl

Kilka pytań

Witam.
Wielkie podziękowania za wysoki poziom merytoryczny serwisu. Kawał dobrej roboty!

Mam kilka pytań apropos zegara Nixie.

1. Czy przy użyciu lamp LC-516 Przetwornica 9V/150V będzie potrzebowała jakiejś modyfikacji? LC-516 ma napięcie pracy 125V a LC-513 145V. Ewentualnie jakie modyfikacje wprowadzić w przetwornicy.
No i oczywiście potrzebna będzie przeróbka schematu i płytki wyświetlacza (ze względu na wyprowadzenia LC-516).

2. Kondensatora 100nF MKT, ten który ma być montowany od strony druku nie jest ujęty ?? w:
a. Wykaz Elementów
b. Sterownik Montowanie
c. Sterownik Płytka
Czy on ma być przylutowany powierzchniowo od spodu płytki między nóżkami 4 i 8 układu U2 PCF8583?

3. Czy zamiast C3 (33pF) można zastosować trymer 10-40pF?

4. W Programowanie jest linia

If Godziny_budz > 23 Then Godziny_budz = 8

Czy tam nie ma być 0 zamiast 8?
Tak tylko przejrzałem kod ;-]

Ogólnie projekt bardzo zgrabny i świetnie opisany (oprócz tego C3 MKT ;-)
Obudowa też ma kupę wdzięku!

Ostatnie dwa pytania mam na temat oznaczeń w PROG Podstawka Programująca oraz
Jak zaprogramować pierwszy AVR?.
1. Czy CLK = SCK, a RST = RES? (To to samo? Bo w różnych projektach jest to różnie oznaczane na Twoich schematach ;-)
2. Czy ta Podstawka Programująca nada się do zaprogramowania ATMega8 do zegara nixie?

Portret użytkownika mirley

Re: Nixie

1. Patrz aby przez lampy był dobry prąd a nie napięcie jakie podajesz bo i tak na anodach masz rezystory ograniczające prąd.Mierz napięcie na rezystorze anodowym a prąd wyliczysz w przybliżeniu z prawa ohma.
2. Od spodu płytki jak najbliżej układu U2 między zasilaniem a masą.
3. Można dac trymer
4. Jest 0 popatrz jeszcze raz. Chyba że chodzi o sam początek to domyślnie jest 8 rano jeśli pierwszy raz włączysz zegar i z eepromu odczytało bzdury

programowanie
1.CLK=SCK i RES=RST
2.będzie dobra do m8, ale polecam zrobić programator STK200 do tej podstawki zamiast łączyć ją bezpośrednio do LPT, lepiej wtedy działa.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika IkaSGC

Zdravím :) asi budu za vola,

Zdravím :) asi budu za vola, ale začal jsem se stavbou těchto dokonalých hodin. Akorát jsem se teď zarazil kvůli: "Na płytce brakuje kondensatora 100nF MKT, należy go wlutować od spodu płytki między nóżkami 4 i 8 układu U2". Protože ho ve schématu nemůžu nijak najít a bohužel polsky zvládnu přečíst jen něco :) a s pomocí překladače mi to dává pouze smysl ten že tam ještě chybí kondenzátor a má se připájet na piny 8 a 4 :) je to tak ? Nejsem si jist protože ve schématu chybí :) Děkuji za případnou odpověď a omlouvám se že sem to nenapsal polsky, dopadlo by to asi katastrofálně :) snad vám to půjde z češtiny přečíst líp :)

Portret użytkownika mirley

Re: Nixie

Ano. MKT 100nF kondenzátor připájet mezi 4 a 8 metrů U2

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika IkaSGC

Zdravím mám dnes sem hodiny

Zdravím mám dnes sem hodiny dodělal. Ale mám problém, když hodiny zapojím tak pouze bliká doutnavka (Neonowka) ale Digitrony nesvítí. Nevíte kde by mohl být problém ? Díky :)

EN (google:D):
Hello. I am here today finished clock. But I have a problem, so get involved when the clock is flashing glow only (Neonowka) but Digitron off. Do you know where the problem might be? thanks :)

Portret użytkownika mirley

Re: Nixie

Check only lamp board.... Connect pin 2 (GpA) do +5V. Turn on the HV and connect pin 1 (GpK) do GND. L1 should show "0". The same way check other pins. GND's must be connect together

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika IkaSGC

I tried. But nothing works.

I tried. But nothing works. Only Neonowka gradually light up as I go up the pins. :(

Portret użytkownika mirley

Re: nixie

Check if T2 is MPSA42 and T1 is MPSA92. When T2 base goes to +5V, it's collector should have potential near ground. Check also voltage on T1 collector, it's should be around HV.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika IkaSGC

T2 and T1 is right type. But

T2 and T1 is right type. But if i conect HW and disconect atmega board and put to T2 base 5V then have colector +/- 60V. T1 have colector +/- 0V

Portret użytkownika mirley

Re Nixie

It's possible that T2 is damaged. Check same with T3 and T4. Check also if resistors R1-R3 have correct value

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Misza

Problem z nixie

Witam
mam taki problem: pierwsza lampa wcale nie świeci:(
świeci jedynie po zwarciu w złączu gpa pinu innej lampy, wtedy wyświetla to co dana lampa.
zero zwarć i już nie mam pojęcia co jest przyczyną. czy możliwe jest że uszkodzony jest port w ATMEGA ???

Portret użytkownika mirley

Re: Nixie

Wejdź w tryb ustawień. Pewnie masz 0:00 na wyświetlaczu a wtedy pierwsza lampa z założenia nie świeci.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Misza

nixie

Faktycznie śmiga wszystko a ja tydzień myślałem co jest....sam sobie jestem winien ponieważ przyciski do ustawiania zostawiłem sobie do zrobienia dopiero jak wykonam obudowę.
Dzięki za pomoc.
ale jestem zakręcony:)

Portret użytkownika Wróbel

Mam takie pytanie czy można

Mam takie pytanie czy można zastosować lampy Lc513A bo nie moge nigdzie znaleźć Lc513 ??

Portret użytkownika Misza

Bez problemu. Ja nic nie

Bez problemu. Ja nic nie zmieniałem i działa wszystko bez problemowo:)

Portret użytkownika Wróbel

Dzięki

dzięki za odpowiedź bo miałem kupować i właśnie nie wiedziałem czy może być :D

Portret użytkownika gość

zegar z termometrem

Witam
Byłaby taka możliwość zebyś dopisałw programie obsługe termometru? chodzi mi o to zeby raz wyswietlalo temperature a raz gdzine na zmiane.
Pozdrawiam.

Portret użytkownika mirley

Re; Nixie

Jeśli byłby to termometr na i2c a nie na 1-wire to można to zrobić dość prosto. Z czujnikiem Ds18b20 trzeba będzie się trochę nakombinować żey nic nie mrugało na wyświetlaczach i dobrze mierzyło temperaturę

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika gość

a jaki czujnik byś polecał na

a jaki czujnik byś polecał na 1-wire? i czy mogłbyś dopisać do programu obsługe czujnika temperatury?

Portret użytkownika mirley

Re: Zegar nixie

Na 1-wire nie znam lepszego od Ds18B20, ale na 1-wire nie będę nic dopisywał, tak jak pisałem wczesniej

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika gość

a na I2C mógłbyś dopisać?

a na I2C mógłbyś dopisać?

Portret użytkownika mirley

Re: nixie

Na I2c można by dopisac bo już i tak używana jest i2c do układu RTC

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika gosc12

Witam, sterownik zegara jest

Witam, sterownik zegara jest zasilany prosto z trafa czy trzeba zasilaczyk wykonac dodatkowo? :)

Portret użytkownika Misza

Witam, sterownik zegara jest zasilany prosto z trafa czy trzeba

Ja zasilam sterownik oraz przetwornice z zasilacza 9V 1A STAŁEGO

Portret użytkownika gosc12

A może być zasilanie 12V 1A

A może być zasilanie 12V 1A stale czy musi byc 9V?

Portret użytkownika Misza

A może być zasilanie 12V 1A stale czy musi byc 9V?

Możesz zasilić 12v musisz wtedy ustawić odpowiednie napięcie na lampy.
Oraz sprawdź czy nie będzie się nadmiernie nagrzewał stabilizator 7805 w sterowniku

Portret użytkownika Wróbel

mały problem

Mam taki raczej mały problem :) wiąze się on z dosyć wysokim napięciem zasilania lamp i ogólnie z ich świeceniem. Chodzi o to że pierwsze trzy lampy przy napięciu zasilania 230V żarzą się dość słabo a ostatnia to jak sie jej podoba albo pół liczby albo cała :D i tu moje pytanie co jest tego przyczyną?? po zmierzeniu napięcie na samych lampach wynosi na trzech pierwszych 150V a na tej ostatniej ok 108V :D i kolejne pytanie bo niewiem czy coś nie tak z programem mam bo program jako tako działa ale nie moge ustawić godziny tylko mogę włączyć buzer przytrzymując jeden z przycisków ?? z góry dzięki za odpowiedź :d

Portret użytkownika mirley

Re: NIxie

Miałem gdzieć poprawiony program, nie dawał on jednak super różnicy ale postaram się zamieścić go w załącznikach wieczorem jak tylko znajdę.......

Zrobiłem jednak na drodze optymalizacji pewne ulepszenie a mianowicie dodałem dzielnik napięcia, i zestaw 10 rezystorów, który polaryzował katody napięciem rzędu połowy zasilania. Chodzi o to że jak tranzystor przestaje przewodzić to katody są na potencjale wysokim i po właczeniu tranzystora trzeba dużo czasu aby się to przeładowało. Ustawiłem napięcie dzielnikiem tak żeby np na katodach po wyłaczeniu było 50V. Różnica i tak nie zaświeci lampy a do przeładowania jest 50V a nie 150V

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Wróbel

napięcia

Zmierzyłem napięcia jeszcze raz i na pierwszych 3 lampach pomiędzy anodą i katodą jest 93V a na ostatniej 45V i tak delikatnie się żarzy co zrobię zeby podnieś to napięcie??

Portret użytkownika mirley

Re: Nixie

na pewno wszystkie lampy masz tak samo polaryzowane? Sprawdź czy nie ma pomyłki przy montażu, może inna wartość rezystora anodowego. Jeśli wszystko wydaje się ok to spróbuj zmienić lampy miejscami

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Wróbel

znalazłem przyczyne

PO niedługich poszukiwaniach błędu znalazłem co jest źle. Przyczyną było moje niedopatrzenie przy odbiorze przesyłki, ponieważ sklep w którym zamawiałem wysłał mi tylko dwa MPSA92 a resztę MPSA42 więc po dokupieniu tych dwóch powinno wszystko działać :D Jak dokupię to zobaczę czy będzie działać:D

Portret użytkownika Wróbel

ustawianie godziny

Nie wiem czym jest to spowodowane ale gdy ustawie godzinę to jest ona tylko na wyświetlaczu do puki zegar nie wyjdzie z menu. Po powrocie z menu pojawiają się zera, nie wiem czy to jest źle wgrany program czy ja to źle ustawiam. Z góry dzięki za odpowiedź :D