Zegar Nixie


8.57143
Ocena: None Średnia: 8.6 (7 głosujących)

Urządzenie to jak każdy inny zagar służy do odmierzania czasu, jednak jego niezwykłość przejawia się w zastosowanym wyświetlaczu, którym są cztery lampy Nixie typu LC513. Odmierzaniem czasu zajmuje się układ zegara czasu rzeczywistego PCF8583 a całość sterowana jest za pomocą mikrokontrolera ATMega8. W celu poprawnego odliczania czasu podczas zaniku napięcia zasilającego zastosowano kondensator elektrolityczny o dużej pojemności. Lampy zasilane za pomocą Przetwornicy 9V/150V dzięki czemu nie jest konieczne stosowanie dwóch transformatorów. Zegar taki po wyposażeniu w drewnianą obudowę będzie znakomitą i nietypową ozdobą w każdym domu.



Działanie:


Schemat ideowy sterownika przedstawiony jest na rysunku poniżej:

Sercem układu jest mikrokontroler U1 (ATMega8). Pracuje on na wewnętrznym oscylatorze RC o częstotliwości 8MHz przez co dwa wolne wyprowadzenia zostały wykorzystane do sterowania katod wyświetlacza. Odmierzaniem czasu zajmuje się układ U2 (PCF8583) pracujący z rezonatorem kwarcowym X1 (32,768kHz) i kondensatorem/trymerem C3 (33pF). Jest to popularny i często stosowany układ RTC (real-time clock), zegar czasu rzeczywistego komunikujący się z mikrokontrolerem za pomocą magistrali I2C. Dioda D1 (1N4007) i kondensator C4 (4700uF/5V) stanowią prosty obwód podtrzymania na kilka godzin zasilania dla układu U2 w przypadku zaniku napięcia sieci. Rezystory R17 (3,3k) i R18 (3,3k) podciągają wyprowadzenia mikrokontrolera, stanowiące magistralę I2C, do plusa zasilania. Złącze SW (Goldpin) służy do podłączenia czterech przycisków sterujących pracą zegara. Tranzystor T11 (BC558) wraz z rezystorami R15 (3,3k) i R16 (3,3k) stanowią obwód sterujący brzęczykiem B1, który pełni rolę sygnału budzenia. Złącza GpA (Goldpin) i GpK (Goldpin) zapewniają połączenie między płytką sterownika i płytką wyświetlacza. Złącze Prog oraz rezystory R11 - R14 (330R) tworzą interfejs umożliwiający programowanie mikrokontrolera U1. Do zasilania płytki sterownika służy prosty zasilacz zbudowany w oparciu o stabilizator U3 (7805) i kondensatory C1 (220uF) i C2 (47uF). Tranzystory wysokonapięciowe T1 - T2 (MPSA42) wraz z rezystorami R1 - R10 (33k) sterują pracą katod lamp wyświetlacza.

Na rysunku poniżej przedstawiony jest schemat ideowy wyświetlacza:

Lampy L1 - L4 (LC513) podłączone są tak aby umożliwić ich multipleksowanie. Tranzystory T1 (MPSA92) i T2 (MPSA42) wraz z rezystorami R1 (33k), R2 (470k) i R3 (100k) są odpowiedzialne za dołączanie anody lampy L1 do wysokiego napięcia podczas multipleksowania. Prąd płynący przez L1 ograniczony jest za pomocą rezystora R13 (47k). Pozostałe lampy zasilane są w identyczny sposób za pomocą tranzystorów T3 - T8 i rezystorów R4 - R12. Neonówka stanowiąca punkt oddzielający minuty od godzin jest sterowana tranzystorem T9 (MPSA42). Rezystor R18 (220k) ogranicza jej prąd. Złacza GpA i GpK służą do podłączenia płytek zegara z sobą natomiast złącze HV jest punktem podłączenia przetwornicy podwyższającej zapewniającej wysokie napięcie dla lamp wyświetlacza.

Budowa:


Sterownik zegara można zbudować na płytce drukowanej dostępnej tutaj. Rysunek w odbiciu lustrzanym znajduje się tutaj. Montaż należy rozpocząć od wlutowania dwóch zworek a następnie należy zamontować wszystkie rezystory. Pod mikrokontroler U1 oraz układ RTC U2 dobrze jest wlutować podstawkę. Buzzer B1 należy umieścić po stronie druku, a następnie w tylnej ściance obudowy wykonać niewielki otwór (otwory). Zwiększy to natężenie dźwieku słyszanego z wnętrza obudowy. Zamiast kondensatora C3 dobrze jest zastosować dobrej jakości trymer 4-40pF wlutowany od strony druku. Kondensator Elektrolityczny C4 stanowiący źródło zasilania awaryjnego należy zamontować w pozycji leżącej. Stabilizator U3 po wlutowaniu w pozycji leżącej będzie wystawał poza płytkę. Żeby temu zaradzić można zastosować 78L05 w małej obudowie. Złącza GpA i GpK powinny być złączami szufladkowymi w które zostaną wpięte goldpiny na płytce wyświetlacza. Uwaga!! Na płytce brakuje kondensatora 100nF MKT, należy go wlutować od spodu płytki między nóżkami 4 i 8 układu U2. Pomocą przy konstrukcji może okazać się schemat montażowy dostępny tutaj.

Wyświetlacz można zbudować w oparciu o płytkę drukowaną dostępną tutaj. Rysunek w odbiciu lustrzanym znajduje się tutaj. Lutowanie rozpoczynamy od zamontowania wszystkich zworek a następnie wszystkie małe elementy. Na samym końcu zabieramy się do montażu lamp i neonówki. Pod lampy zamiast podstawek najlepiej jest wykorzystać piny do złącz żeńskich typu BLS (wtyki na goldpiny montowane na kabel, takie jak tutaj) lutując w miejsce kabla pojedyńczy goldpin a następnie całość w płytkę. Na wystający pin można nałożyć kawałek koszulki termokurczliwej jak to widać na fotografiach na dole strony. Pomocą przy konstrukcji może okazać się schemat montażowy dostępny tutaj.

Obudowę do zegara najlepiej zrobić z drewna dębowego. Projekt dostępny jest tutaj. Do wykonania tej konstrukcji potrzebne jest około 70cm listwy o grubośći 8mm i szerokości 8cm. Należy zwrócić uwagę na to aby wspomniana listwa była dobrze wyheblowana i miała jednakową szerokość na całej długości (nie musi być dokładnie 8cm). Ułatwi to klejenie całości i wyeliminuje możliwość powstania szpar. Płytki w obudowie można zamocować za pomocą kleju termotopliwego. Moja obudowa "prototyp" widoczna na zdjęciach nie jest wykonana dokładnie według opisywanego projektu, gdyż on powstał na jej podstawie. Projekt posiada kilka drobnych poprawek :).

Podłączenie klawiatury:


PinC.0 +Minuty (wejdź do nastaw budzika)
PinC.1 -Minuty (włącz budzik)
PinC.2 +Godziny (wejdź do nastaw czasu)
PinC.3 -Godziny (wyłącz budzik)

Wykaz Elementów:


1x Zlacze Srubowe ARK2(podwójne)
1x Listwa Goldpin 1x2
1x Listwa Goldpin 1x6
1x Listwa Goldpin 1x10
1x Listwa Goldpin 1x5
1x Zlacze Szufladkowe 1x8
1x Zlacze Szufladkowe 1x6
1x Zlacze Szufladkowe 1x10
52x Piny do złącz żeńskich typu BLS (na goldpiny)
4x uSwitch 12x12mm (lub inny przycisk N.O.)

4x 330R
2x 3,3k
15x 33k
4x 47k
4x 100k
1x 220k
4x 470k

1x 33pF
1x 47uF Elektrolit
1x 220uF Elektrolit
1x 4700uF/5V Elektrolit

1x Neonowka
4x Lampa Nixie LC513

1x Rezonator Kwarcowy 32768Hz
1x 1N4007
15x MPSA42
4x MPSA92
1x BC558
1x Stabilizator 7805
1x Mikrokontroler ATMEGA8 + Podstawka
1x Uklad RTC PCF8583
1x Buzzer z Generatorem 5V

Programowanie:


Mikrokontroler do sterownika został zaprogramowany w wersji demo programu BASCOM AVR firmy MCS Electronics (http://www.mcselec.com/). Program przedstawiony jest poniżej:

'************* Konfiguracja uC *****************
'***********************************************
$regfile = "m8def.dat"
$crystal = 8000000              'oscylator RC wewnetrzny
 
 
Config Portb = &B11111111 : Portb = &B00000001
Config Portc = &B00000000 : Portc = &B11111111
Config Portd = &B11111111 : Portd = &B00000000
 
 
Config Scl = Portc.5
Config Sda = Portc.4
I2cinit
 
Config Timer0 = Timer , Prescale = 64
Enable Timer0 : On Timer0 Prztimer0
 
Enable Interrupts
'********** Konfiguracja uC Koniec  ************
 
'******************  Zmienne *******************
'***********************************************
Dim Flaga4ms As Bit , Flaga05s As Bit
 
Dim Co4ms As Byte , Co05s As Byte
Dim Godziny As Byte , Minuty As Byte , Sekundy As Byte
Dim Godziny_budz As Byte , Minuty_budz As Byte
Dim Godziny_bcd As Byte , Minuty_bcd As Byte , Sekundy_bcd As Byte
 
Dim Mux As Byte
Dim Stan_katod As Byte
Dim Wartosc_wysw(2) As Byte
 
Dim Neonowka As Bit
Dim Licznik_sw As Byte , Stan_portu As Byte , Sw_port As Byte
Dim Poz_menu As Byte
 
Dim Zezwolenie_budz As Bit
Dim Autopowrot_ust(2) As Byte
Dim Temp As Byte
Dim Sygnal_budzenia As Byte
'*************  Zmienne Koniec  ****************
'************ Warunki Poczatkowe ***************
'***********************************************
Poz_menu = 0
Flaga05s = 1
Readeeprom Temp , 2                                 
If Temp = 100 Then Zezwolenie_budz = 1 Else Zezwolenie_budz = 0
Readeeprom Godziny_budz , 3
Readeeprom Minuty_budz , 4
If Godziny_budz > 23 Then Godziny_budz = 8
If Minuty_budz > 59 Then Minuty_budz = 0
'*******  Warunki Poczatkowe Koniec  ***********
'**************  Petla glowna ******************
'***********************************************
Do
  If Flaga4ms = 1 Then
    Flaga4ms = 0
    Gosub Wyswietl
    Gosub Przyciski
  End If
 
  If Flaga05s = 1 Then
    Flaga05s = 0
    Neonowka = Not Neonowka
    Select Case Poz_menu
      Case 0:                                               ' odczyt czasu z rtc
        I2cstart
        I2cwbyte 162                                        'adres zapisu
        I2cwbyte 2                                          'rejestr sekund
        I2cstart
        I2cwbyte 163                                        'adres odczytu
        I2crbyte Sekundy_bcd , Ack
        I2crbyte Minuty_bcd , Ack
        I2crbyte Godziny_bcd , Nack
        I2cstop
        Sekundy = Makedec(sekundy_bcd)
        Minuty = Makedec(minuty_bcd)
        Godziny = Makedec(godziny_bcd)
      Case 1:                                             
        Incr Autopowrot_ust(1)
        If Autopowrot_ust(1) = 8 Then
          Gosub Zapis_rtc
          Poz_menu = 0
        End If
      Case 2:                                              
        Incr Autopowrot_ust(2)
        If Autopowrot_ust(2) = 8 Then
          Writeeeprom Godziny_budz , 3
          Writeeeprom Minuty_budz , 4
          Poz_menu = 0
        End If
    End Select
 
    If Sygnal_budzenia > 0 Then
      Portb.0 = 0
      Decr Sygnal_budzenia
      If Sygnal_budzenia = 0 Then Portb.0 = 1
    End If
 
    Gosub Budzenie
 
  End If
Loop
End
'************ Petla glowna Koniec **************
'************ Przerwanie timer 0 ***************
'***********************************************
Prztimer0:
  'Incr Co4ms
  'If Co4ms >= 2 Then
      'Co4ms = 0
    Set Flaga4ms                         'dziala co 2 ms  mimo nazwy
    Incr Co05s
    If Co05s >= 250 Then
      Co05s = 0
      Set Flaga05s
    End If
  'End If
 
Return
'******** Przerwanie timer 0 Koniec ************
 
'***********************************************
Wyswietl:
  Incr Mux
  If Mux = 8 Then Mux = 0
  Select Case Poz_menu
    Case 0:                                                 'pokazuj czas
      Portb.1 = Neonowka
      Wartosc_wysw(1) = Godziny                             'wysw 1 i 2
      Wartosc_wysw(2) = Minuty                              'wysw 3 i 4
    Case 1:                                                 'ustawiaj czas
      Portb.1 = 1
      Wartosc_wysw(1) = Godziny                             'wysw 1 i 2
      Wartosc_wysw(2) = Minuty                              'wysw 3 i 4
    Case 2:                                                 'ustaw budzik
      Portb.1 = 0
      Wartosc_wysw(1) = Godziny_budz                        'wysw 1 i 2
      Wartosc_wysw(2) = Minuty_budz                         'wysw 3 i 4
  End Select
 
  Select Case Mux
    Case 0:
      Stan_katod = Wartosc_wysw(1) / 10
      If Stan_katod = 0 Then Stan_katod = 10        
      Gosub Ustaw_katody
      Set Portb.5
    Case 1:
      Reset Portb.5
    Case 2:
      Stan_katod = Wartosc_wysw(1) Mod 10
      Gosub Ustaw_katody
      Set Portb.4
    Case 3:
      Reset Portb.4
    Case 4:
      Stan_katod = Wartosc_wysw(2) / 10
      Gosub Ustaw_katody
      Set Portb.3
    Case 5:
      Reset Portb.3
    Case 6:
      Stan_katod = Wartosc_wysw(2) Mod 10
      Gosub Ustaw_katody
      Set Portb.2
    Case 7:
      Reset Portb.2
 
  End Select
Return
'***********************************************
Ustaw_katody:
  Select Case Stan_katod
    Case 0 :
      Portb.6 = 0 : Portb.7 = 0 : Portd = 128
    Case 1:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 64
    Case 2:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 32
    Case 3:
      Portb.6 = 0 : Portb.7 = 1 : Portd = 0
    Case 4:
      Portb.6 = 1 : Portb.7 = 0 : Portd = 0
    Case 5:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 16
    Case 6:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 8
    Case 7:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 4
    Case 8:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 2
    Case 9:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 1
    Case 10:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 0
  End Select
Return
'***********************************************
Przyciski:
  Sw_port = Pinc
  Sw_port = Sw_port Or &B11110000
  If Sw_port <> 255 And Stan_portu = Sw_port Then
    Incr Licznik_sw
    If Licznik_sw = 80 Then
      Licznik_sw = 0
      Select Case Stan_portu
        Case 247:                                           '-godziny
          Select Case Poz_menu
            Case 0:                                         'wylacz budzik
              Zezwolenie_budz = 0
              Temp = 0
              Writeeeprom Temp , 2
              Sygnal_budzenia = 2                           'sygnal na 0,5s
            Case 1:
              Decr Godziny
              If Godziny = 255 Then Godziny = 23
            Case 2:
              Decr Godziny_budz
              If Godziny_budz = 255 Then Godziny_budz = 23
          End Select
        Case 251:                                           '+godziny
          Select Case Poz_menu
            Case 0:                                         'wchodzi do ustawien czasu
              Poz_menu = 1
            Case 1:
              Incr Godziny
              If Godziny = 24 Then Godziny = 0
            Case 2:
              Incr Godziny_budz
              If Godziny_budz = 24 Then Godziny_budz = 0
          End Select
        Case 253:                                           '-minuty
          Select Case Poz_menu
            Case 0:                                         'wlacz budzik
              Zezwolenie_budz = 1
              Temp = 100
              Writeeeprom Temp , 2
              Sygnal_budzenia = 3                           'sygnal na 1s
            Case 1:
              Decr Minuty
              If Minuty = 255 Then Minuty = 59
            Case 2:
              Decr Minuty_budz
              If Minuty_budz = 255 Then Minuty_budz = 59
          End Select
        Case 254:                                           '+minuty
          Select Case Poz_menu
            Case 0:                                         'wchodzi do ustawien budzika
              Poz_menu = 2
            Case 1:
              Incr Minuty
              If Minuty = 60 Then Minuty = 0
            Case 2:
              Incr Minuty_budz
              If Minuty_budz = 60 Then Minuty_budz = 0
          End Select
       'Case 252:
      End Select
 
      Autopowrot_ust(1) = 0
      Autopowrot_ust(2) = 0
 
    End If
  Else
    Stan_portu = Sw_port
    Licznik_sw = 60
  End If
Return
'***********************************************
Zapis_rtc:
  Godziny_bcd = Makebcd(godziny)
  Minuty_bcd = Makebcd(minuty)
  Sekundy_bcd = 0
 
  I2cstart
  I2cwbyte 162
  I2cwbyte 0                                                'rejestr kontrolny
  I2cwbyte 8                                                'ustawienie rejestru zapisu daty
 
  I2cstop
  I2cstart
  I2cwbyte 162
  I2cwbyte 2
 
  I2cwbyte Sekundy_bcd
  I2cwbyte Minuty_bcd
  I2cwbyte Godziny_bcd
  I2cstop
Return
'***********************************************
Budzenie:
  If Zezwolenie_budz = 1 Then
    If Godziny = Godziny_budz And Minuty = Minuty_budz Then
      Portb.0 = Neonowka
    Else
      If Sygnal_budzenia = 0 Then Portb.0 = 1
    End If
  End If
Return
'***********************************************

Ustawienia fusebitów mikrokontrolera przedstawione są na rysunku poniżej(Zrzut z programu Burn-O-Mat):

Zdjęcia Projektu:

ZałącznikWielkość
Sterownik Schemat101.8 KB
Wyświetlacz Schemat41.45 KB
Sterownik Płytka17.31 KB
Sterownik Płytka (odbicie lustrzane)17.46 KB
Sterownik Płytka (3 na stronie)48.04 KB
Sterownik Montowanie23.51 KB
Wyświetlacz Płytka18.06 KB
Wyświetlacz Płytka (odbicie lustrzane)18.12 KB
Wyświetlacz Płytka (3 na stronie)49.29 KB
Wyświetlacz Montowanie22.59 KB
Kod Źródłowy9.28 KB
Program po kompilacji (BIN)2.21 KB
Program po kompilacji (HEX)6.23 KB
Obudowa (Projekt)47.84 KB
Obudowa (Maska do wiercenia otworów)24.47 KB



Portret użytkownika gosc12

Witam, mógłby ktoś podesłać

Witam, mógłby ktoś podesłać screena z ustawieniami fusebitów w bascomie? Z góry dziękuje:)

Portret użytkownika gosc12

Czy fusebit C bedzie 1 i B

Czy fusebit C bedzie 1 i B tez 1?? A KLA bedzie 010100 w bascomie? Prosze o odp czy to ustawienie bedzie poprawne;)

Portret użytkownika Wróbel

fusebit

N pierwszej stronie jest napisane w 3 lub 4 poście o ustawieniu fusebit :D ale jak już pisze to CKOPT=1, a KLA (CKSEL=0100) (SUT=10) I ponawiam pytanie bo dalej nie wiem dlaczego po ustawieniu godziny zegar po chwili działania wraca do 0:00 ??

Portret użytkownika mirley

Re: Nixie

A nie ustawiasz czasami budzika? masz 4 przyciski, jak klikasz dwa z nich to odzywa się buzzer krótko lub długo sygnalizując że budzik jest wyłączony lub włączony. Jak klikniesz jeden z pozostałych dwóch przycisków to wchodzisz albo do ustawień czasu albo budzika. Jak świeci neonówka to ustawiasz zegar a jak nie świeci to ustawiasz budzik. Po chwili bezczynności układ wraca do wyświetlania zegara.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Wróbel

Nixie

Też na początku myślałem że ustawiam budzik ale neonówka świeci spróbuje przegrać w najbliższym czasie program jeszcze raz może był jakiś błąd przy programowaniu :D

Portret użytkownika gosc1

Witam, czy elektrolit 4700uF

Witam, czy elektrolit 4700uF mozna zastąpic baterią CR2032 3V czy trzeba było by wprowadzac większe przeróbki?:)

Portret użytkownika Wróbel

Raczej można tylko o ile

Raczej można tylko o ile dobrze wiem to trzeba by było dołożyć jeszcze jedną diodę :D Ja użyłem zamiast 4700uF kondensatora gold cap i podtrzymuje RTC przy życiu bardzo długo :D

Portret użytkownika mirley

Re: Nixie

Zamiast kondensatora jest możliwe włożenie baterii w szeregu z diodą schotkiego

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika silver

Dziwny problem wyświetlania godziny

Mirley wiele razy mi pomagałeś przy swoich projektach więc mam nadzieję że i tym razem rozwiążemy problem. Mianowicie zbudowałem zegarek, czas odmierza prawidłowo, wszytko działa prawidłowo ale.. no właśnie co chwilę na parę sekund przy lampie wyświetlającej dziesiątki godzin zapala się inna cyfra. Tzn. wyświetlając np godzinę 19:50 co chwilę wyświetla godzinę 59:50... i tak w kółko.. procesor sprawdziłem 10 razy wszystko zaprogramowane jak należy fusy ok.. Proszę pomocy :)

Portret użytkownika Chicken12

Witam, zrobilem sobie ten

Witam, zrobilem sobie ten projekcik i bardzo dobrze chodził, lecz chialem troche przerobic wsad, i całkiem nie chcąco uruchomilem układ bez procka. I teraz nie swieci nic jak go wlączam z prockiem. Nie wiem gdzie wina leży czy przez to ze uruchomiłem bez procka czy moze cos z programem czy moze zle wgrany wsad. Prosze o jakiekolwiek porady.

Portret użytkownika mirley

RE: Nixie

Spróbuj zmienić napięcie zasilania HV, to może być duch na lampie, to znaczy wyświetla jeszcze raz trochę ostatniej cyfry z poprzedniego wyświetlacza... zmniejszenie napięcia powinno pomóc ale cyfry będą mniej ostre. Trzeba znaleźć odpowiednią wartość. Ewentualnie warto dorzucić na płytkę dzielnik napięcia robiący np 50V i podłączyć przez duży rezystor od tego dzielnika do każdej z katod dużym rezystorem. Spolaryzuje to wstepnie lampy i ułatwi ich wyłączanie, przez co poprawi się wyswietlanie.

-------

CO do uruchamiania układu bez procka to nie widzę dużych problemów. Może się zdarzyć oczywiście że wszystko na raz zaświeci ale nie powinno się uszkodzić. Przetwornica na pewno dobrze działa, daje dobre napięcie. Próbuj coś postykać w podstawce, włącz np pierwszą anodę i pierwszą katodę, powinna się zapalić cyfra 1 na pierwszym wyświetlaczu. Podajesz +5V na tranzystory aby je włączyć ale to chyba jasne

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika silverro

Sprawa ma się następująco.

Sprawa ma się następująco. Wymieniłem PRka na stojący i mogę na bieżąco zmieniać HV. zmniejszyłem napięcie , że lampy ledwie świeciły a godzina dalej sie zmieniała. wymieniłem nawet procka. zauważyłem zależność , że cyfra się zmienia dokładnie w 40 sekundzie każdej minuty...

Portret użytkownika Wróbel

To nie jest wina przetwornicy

To nie jest wina przetwornicy ani programu:D Masz najprawdopodobniej włożony zły układ RTC :D Sprawdź to bo to jest zapewne twoim problemem :D Po wymianie na RTC PCF8583 będzie działało poprawnie :D Miałem taki sam problem tylko że w sterowniku domowym :D

Portret użytkownika silverro

HAHA!

Przyjacielu Wróbel masz u mnie mega browarka! Sprawdziłem i faktycznie mam 8563 haha... nie wpadł bym za chiny na to... tylko co jest najśmieszniejsze jestem prawie pewien że zamawiałem 8583 :/ no nic.. jak załatwię ten odpowiedni to pochwalę się wynikiem.
Na razie dzięki bardzo!

Portret użytkownika mirley

Re: Nixie

Najciekawsze jest to że nie powinno się tak dziac bo adresy są jednakowe dla obu kostek. Spróbujcie w programie dorzucić linijkę:

        I2cwbyte 163                                        'adres odczytu
        I2crbyte Sekundy_bcd , Ack
        I2crbyte Minuty_bcd , Ack
        I2crbyte Godziny_bcd , Nack
        I2cstop
 
        sekundy_bcd = sekundy_bcd and &B01111111             '<<<<<<<<<<<<<<<<<<<<<<<<
 
        Sekundy = Makedec(sekundy_bcd)
        Minuty = Makedec(minuty_bcd)
        Godziny = Makedec(godziny_bcd)

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika silver

Mirey linijka dopisana,

Mirey linijka dopisana, program skompilowany - nie pomogło.. dalej to samo :/

Portret użytkownika Wróbel

Mi dopiero ruszyło po

Mi dopiero ruszyło po wymianie RTC :D a też próbowałem zmieniać program :D

Portret użytkownika silver

Tada!!

No. RTC wymienione, problem rozwiązany... Zegarek chodzi pięknie! Dzięki wielkie Panowie za pomoc!

Portret użytkownika mtszrbn

Pytanie

Mam pytanie: czy zastąpienie lamp 513 lampami 516 stanowi tu jakikolwiek problem?

Portret użytkownika Misza

Mam pytanie: czy zastąpienie lamp 513 lampami 516 stanowi tu jak

LC515 i LC516 są to te same lampy różnią się tylko rozstawem wyprowadzeń. ze względu na obudowę w lc516-którą podobno można usunąć

Portret użytkownika dziura93

tranzystor

czy są jakieś bardziej popularne zamienniki tranzystorów mpsa42 i mpsa92
czy zamiast bc558 może być bc557

Portret użytkownika mirley

Re: Nixie

MPSA pewnie ciężko będzie zamienić bo to tranzystory wysokonapięciowe..... spróbuj BF420A dla mpsa42 ale na pewno będzie jeszcze trudniej dostepny. mpsa jest dostępny w sklepach raczej bez kłopotu, na allegro na pewno.
Zamiast 558 może być 557 lub 556

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika hetm4n

wersja nixie na rękę,

Witam! pytanie do autora, wykonałem twój zegarek na lampach Z5700, chodzi bardzo dobrze, z kolegą dostaliśmy w łapy niniaturowe lampy nixie i chcemy zrobić go w wersji na rękę. Zanim projekt przekształci się w praktyczną część, mam pytanie, próbowałem twoją wersje zegara zasilić z bateri 9V, ale niestety, jest problem, bo zaczyna zegar głupieć, wyswietla np 50:98 lub nie właczają sie wszystkie segenty. Pytanie, czy wina bedzie leżeć po stronie przetwornicy ?? Nie znam się za bardzo też na tych lampach, ale czy pobór prądu w dużych lampach jest wiekszy od małych lamp?

Portret użytkownika mirley

Re: nixie

Zasilałes 9V ale oczywiście przez stabilizator 5V jak rozumiem? zmierz jakie napięcie panuje na baterii przed stabilizatorem. Druga sprawa to przetwornica, jej sprawność będzie kiepska i trzeba by zrobić coś na MC34063.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika hetm4n

ogolnie chcialem wykorzystac

ogolnie chcialem wykorzystac scalona przetwornice dc/dc LT1208B lecz niemoge nigdzie dostac dlawika dwuuzwojeniowego 1,5uH, natomiast zastanawia mnie jak przetwornica na ne555 bedzie sie zachowywac przy 2 podpietych szeregowo bateriach CR2, fakt pobor bedzie ok 120mA lecz lampy beda wlaczane na pare sekund. Projekt doswiadczalny, bardziej jako bajer na pokaz.

Portret użytkownika mirley

Re: Nixie

Spróbował bym tak czy inaczej zrobic przetwornicę na MC34063. Sprawnośc będzie o wiele lepsza. Nie wiem czy bateria taki prad da rady w impulsie wydolić. Może jakaś pojemność duża by sie przydała równolegle do baterii

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Paweł

Mam taki problem,

Witam, wykonałem zegar według twojego projektu i wszystko działa fajnie oprócz tego że po godzinie 23.59 następuje 24.00, nie przeskakuje na godzine 00.00 tylko 24.01 itd. nie znam się zupełnie na programowaniu i nie wiem jak sobie z tym poradzić.
Z góry dziękuje za pomoc :)

Portret użytkownika hetm4n

wgrales oryginalny plik

wgrales oryginalny plik hex/bin czy kompilowales kod przez kompilator? wgrany plik hex dziala u mnie prawidlowo, 0:00

Portret użytkownika tomekuzi

Witam, projekt mirleya

Witam, projekt mirleya zrobiłem już jakiś czas temu. wtedy to było na lampach LC-513 i działa super bez problemowo do dziś. zero komplikacji czy problemów z fusebitami, nawet przeprojektowałem płytkę, aby układ sterowania był razem z przetwornicą na jednej płytce. zegar po zastosowaniu kondensatora 22pF przy układzie RTC spieszy się ok 1min na miesiąc, więc extra. ale niedawno przerobiłem płytkę z lampami na lampy IN-12A (płytka dwustronna i takie tam) i efekt jest jeszcze lepszy i oczywiście działa super. Jeżeli chce ktoś gotowe projekty płytek to śmiało przekaże dalej.
Mam tylko zamiar zamienić tranzystory MPSA na układ optyczny TLP627 lub podobny. i tu moje pytanie, czy jest to możliwe w prosty sposób??
pozdrawiam
Tomek

Portret użytkownika tomekuzi

Witam, projekt mirleya

Witam, projekt mirleya zrobiłem już jakiś czas temu. wtedy to było na lampach LC-513 i działa super bez problemowo do dziś. zero komplikacji czy problemów z fusebitami, nawet przeprojektowałem płytkę, aby układ sterowania był razem z przetwornicą na jednej płytce. zegar po zastosowaniu kondensatora 22pF przy układzie RTC spieszy się ok 1min na miesiąc, więc extra. ale niedawno przerobiłem płytkę z lampami na lampy IN-12A (płytka dwustronna i takie tam) i efekt jest jeszcze lepszy i oczywiście działa super. Jeżeli chce ktoś gotowe projekty płytek to śmiało przekaże dalej. Mam tylko zamiar zamienić tranzystory MPSA na układ optyczny TLP627 lub podobny. i tu moje pytanie, czy jest to możliwe w prosty sposób??

Portret użytkownika mirley

Re: Nixie

Napewno od strony masy da się zastosować taki TLP, sprawdź w karcie jakie napięcie może wytrzymać tranzystor wewnątrz ale chyba napewno ponad 200V. Od strony + bedzie większy problem bo tam musiał by byc transoptor z tranzystorem pnp w środku. W sumie to można by sprawdzić czy NPN się włączy w takich warunkach.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Paweł

Orginalny plik .bin

Tak wgrywałem oryginalny plik .bin pobrany z załączników. Próbowałem także wgrywać plik kompilowany z kodu źródłowego, co nic nie zmieniło :(

Portret użytkownika mirley

Re: Zegar

Czy układ zegara to na pewno PCF8583? Nie ma innego wyjaśnienia, przecież czas czytany jest z tego układu.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Paweł

Na układzie zegara napisane

Na układzie zegara napisane jest dokładnie PCF8583P.

Portret użytkownika Paweł

Jeśli to coś pomoże, to gdy

Jeśli to coś pomoże, to gdy włączam zegar to na start wyskakuje mi godzina 20.00, a doczytałem gdzieś na forum ze na start ma być 07.00

Portret użytkownika tomekuzi

nie wiem skąd Ty masz takie

nie wiem skąd Ty masz takie problemy, przecież wszystko działa idealnie jeśli tylko dobrze jest wgrany program i ustawione fusebity, nie mówię o prawidłowych elementach i montażu. u mnie zawsze na start jest 0:00, a zrobiłem już dwa takie zegary i to na różnych lampach.

Portret użytkownika maciek

Zasilanie

Witam, pytanie mam do was bardzo głupie zapewne, ale wydałem na te lampy sporo kasy i mi ich szkoda. Mianowicie, do zasilania w zegarze podłączam przetwornicę, a do przetwornicy co? Baterię? Zasilacz? Kabel prosto z gniazdka? Proszę o konkretną odpowiedź, jeżeli zasilacz to jaki. Przetwornicę mam zamiar zrobić na jednej płytce ;)
Pozdrawiam

Portret użytkownika Trg

Ja przetwornice i zegar mam

Ja przetwornice i zegar mam podłączone to zasilacza na 9V

Portret użytkownika tomekuzi

do projektu przetwornicy

do projektu przetwornicy Mirleya dodałem na wejściu stabilizator 7809 (plus kondensatory) i całość zasilam zasilaczem stabilizowanym 12V. działa ok, układ nagrzewa się trochę lecz nie parzy więc radiator nie jest wymagany.

Portret użytkownika Maciek

Zasilanie cd.

Cześć, mam kolejne błahe pytanie, ale dotyczy wysokiego napięcia, więc wolę nie eksperymentować. Przetwornica ma 'wlot i wylot' czyli 9v na wejściu i 150v(jako HV) na wyjściu. Czy wejście przetwornicy łączę ze złączem śrubowym na płycie sterownika(wtedy wychodzą z niego 4 kable - 2 do zasilacza sieciowego, 2 do przetwornicy) a wyjście z HV na płytce wyświetlacza? Prosiłbym o wytłumaczenie, bo nie wiem jak podłączyć przetwornicę, zamiast kabli zrobię ścieżki markerem, tylko nie bardzo wiem skąd dokąd..
Pozdrawiam

Portret użytkownika oneblade

Nixe LC-516 problem

Witam.
Trzeci dzień głowie się z problematycznym zegarkiem. Zrobiony na lampach LC-516 Z tego co się zdążyłem zorientować to niby wszystko jest w porządku tylko wyświetlanie nie bardzo działa. Układ sprawdzony ręcznie i wszystko się ładnie załącza. Każda cyferka każda lampa zwierając wyprowadzenia z wyjętym układem. Na lamach zmierzone 1,2mA. Po włożeniu mikro kontrolera trzy z czterech lamp wyświetlają. Pierwsza lampa godzinowa niby coś próbuje zajarzyć ale nie może, a te pozostałe i tak nie świecą tak mocno jak ręcznie załączane. Zmierzone 0.2mA i nawet nie pomaga podkręcenie przetwornicy. Przyciski reagują bo zegar się przestawia. Buzer piszczy. Tylko jest jakiś duży spadek na lampach. Nie wiem już co począć. Posiadam atmege8a ale chyba to a nie robi większej różnicy. Z góry dziękuje za pomoc.

Portret użytkownika mirley

Re: Nixie

Jaki jest problem z podłączeniem przetwornicy. Zasilanie 9V a wyjście przetwornicy do złącza HV wyświetlacza.... Tylko lampy zasilane są wysokim napięciem (HV). Masa niskiego i wysokiego napięcia jest wspólna.

-------------

Odnośnie świecenia lamp to ciężko będzie zmieżyć prąd miernikiem gdy lampy sterowane są z procesora.... średnio powinno być 4x mniej prady niż przy zwarciu pinu normalnie do +5V bo procesor to multipleksuje. Zawsze świeci tylko jedna lampa i są momenty gdy nie świeci żadna. Próbuj zmnijeszać wartość rezystorów anodowych uważając aby nie przekroczyć prądu lampy. Nalezy najpierw trochę zmnijeszyć napięcie przetwornicy a potem po wymianie rezystancji zwiększać

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Maciek

Brak wyświetlania - spaliłem układ?

Witam!
Złożyłem zegar, na razie jest tylko włożona lampa nr dwa, ponieważ muszę się zaopatrzyć w podstawki. Tutaj pierwsze pytanie - czy trzeba przerabiać płytkę, aby wstawić podstawki do lc513? Czy mieszczą się bez przerabiania?

Ok, idźmy dalej, przetwornica nie chciała mi podnosić napięcia, okazało się, że plus i minus był wpięty do jednego punktu, poprawiłem to i już podnosi napięcie. Zaprogramowałem układ,czyli wnioskuję, że nic się nie spaliło przez moją pomyłkę. Niestety żarówka nie chce się zaświecić. Zasilałem zarówno 9 i 12V, potencjometrem kręciłem przez cały zakres i nic. Co może być nie tak? Nie widzę żadnych zimnych lutów ani zwarć, jaka jest najczęstsza przyczyna tego, że lampa się nie świeci? Proszę o pomoc, lutownicę mam tylko wieczorami a od kilku dni praca stoi w miejscu.. Pozdrawiam

Portret użytkownika Maciek

Wyświetlają się zera

Ok, poradziłem sobie z poprzednimi problemami, w sklepie sprzedali mi zamienniki, które nie były zamiennikami, dlatego przetwornica nie chciała chodzić jak należy. Teraz jest już stabilnie.
Mam jednak taki problem, mam nadzieję, że już ostatni, że wyświetla się tylko cyfra 0 i nie odmierza mi czasu.

Pisałeś Mirley o rozwiązaniu tutaj:

Szukaj problemu przy układzie U2 (zegar RTC). Wygląda na to że brak komunikacji z układem, może przerwana ścieżka albo zwarcie na jego pinach SCL i SDA. Poza tym sprawdź zasilanie układu U2, poprawnośc kwarcu i polaryzację diody D1.

No więc tutaj mam wszystko ok.. Wszystko polutowane bez zwarcia, lutowałem 4 razy, w celu pewności, że zimnych lutów nie ma, ścieżki są dobre. Kwarc taki jak należy, dioda przylutowana w dobrym kierunku. Co może być nie tak?

Portret użytkownika mirley

Re: Lampy Nixie

Ja pod lampy nie stosowałem podstawek tylko piny zaciskane na kabel od goldpinów, tak jak na zdjęciach widać... nie wiem czy podstawki się zmieszczą na PCB.

Rezystory 3,3k na SCL i SDA mają dobrą wartość. Którą lampę masz włożoną w podstawkę, tą od godzin czy minut... może nie odczekałeś odpowiednio długo na zmianę wskazań zegara. Jak pojawia się 0 to duża szansa że dobrze odczytujesz czas. Jakby był problem z podłączeniem to raczej głupoty powinien czytać.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Misza

podstawki

witam
osobiście odradzam podstawki.nie pasują do PCB..... ciężko je prosto wlutować. tak jak pisze MIRLEY najlepiej użyć pinów na kabel

Portret użytkownika Maciek

Witam Mam dalej problem z

Witam
Mam dalej problem z zegarem, a raczej programowaniem. Wiem co i jak, bo zrobiłem już termometr Twojego autorstwa. Tutaj mam taki problem, że w bascomie pokazuje mi komunikat: could not identify chip: FFFFFFF.
Sprawdziłem mój sample programmer składający się z gniazda DB25M, czterech rezystorów i kawałka dowolnego kabla, wszystko jest ok, są przejścia. Zrobiłem płytkę od nowa, kupiłem nowe podstawki i atmegę, dalej nie może rozpoznać kontrolera. Co jest nie tak? Nie mam zwarcia, wszystko zlutowane zgodnie ze schematem, stoi ten projekt juz chyba 2 miesiące, a nie chciałbym tego wyrzucić do szafki..
Proszę o jakieś wskazówki. Pozdrawiam ;)

Portret użytkownika mirley

RE: Programator

Programujesz na płytce zegara czy wyjmujesz gdzieś procesor i go programujesz? Na płytce tez znajdują się rezystory do programowania więc jak masz w programatorze i na płytce to może być problem. Sprawdź jeszcze raz czy np Tiny2313 się programuje jakim innym programem.... skoro zrobiłeś termometr to powinno działać

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Maciek

Programuję na płytce zegara,

Programuję na płytce zegara, mam podpiętą wtyczkę lpt prosto do płytki ( do gniazda na programator). Przelutuję jeszcze raz ten programator, ale nie wiem czy to przez niego. W avr burnomat mogę zmieniać fusebity atmegi, czyli jakieś połączenie jest. Pomysły? Raz go zaprogrmaowałem, tzn atmegę, później zegar wyświetlał przez cały czas zera, chciałem go jeszcze raz zaprogramować i niestety już nie może zidentyfikować chipa, nowa atmega to samo, nowa płytka - to samo..

Portret użytkownika mirley

Re: Nixie

Dobra... ale masz rezystory na płytce i na programatorze? spróbój zmniejszyć wartość np do 100R. Polecam też zaprogramowac sobie na starcie programator USBASP i większość problemów pewnie zniknie

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.