Zegar Nixie


8.57143
Ocena: None Średnia: 8.6 (7 głosujących)

Urządzenie to jak każdy inny zagar służy do odmierzania czasu, jednak jego niezwykłość przejawia się w zastosowanym wyświetlaczu, którym są cztery lampy Nixie typu LC513. Odmierzaniem czasu zajmuje się układ zegara czasu rzeczywistego PCF8583 a całość sterowana jest za pomocą mikrokontrolera ATMega8. W celu poprawnego odliczania czasu podczas zaniku napięcia zasilającego zastosowano kondensator elektrolityczny o dużej pojemności. Lampy zasilane za pomocą Przetwornicy 9V/150V dzięki czemu nie jest konieczne stosowanie dwóch transformatorów. Zegar taki po wyposażeniu w drewnianą obudowę będzie znakomitą i nietypową ozdobą w każdym domu.



Działanie:


Schemat ideowy sterownika przedstawiony jest na rysunku poniżej:

Sercem układu jest mikrokontroler U1 (ATMega8). Pracuje on na wewnętrznym oscylatorze RC o częstotliwości 8MHz przez co dwa wolne wyprowadzenia zostały wykorzystane do sterowania katod wyświetlacza. Odmierzaniem czasu zajmuje się układ U2 (PCF8583) pracujący z rezonatorem kwarcowym X1 (32,768kHz) i kondensatorem/trymerem C3 (33pF). Jest to popularny i często stosowany układ RTC (real-time clock), zegar czasu rzeczywistego komunikujący się z mikrokontrolerem za pomocą magistrali I2C. Dioda D1 (1N4007) i kondensator C4 (4700uF/5V) stanowią prosty obwód podtrzymania na kilka godzin zasilania dla układu U2 w przypadku zaniku napięcia sieci. Rezystory R17 (3,3k) i R18 (3,3k) podciągają wyprowadzenia mikrokontrolera, stanowiące magistralę I2C, do plusa zasilania. Złącze SW (Goldpin) służy do podłączenia czterech przycisków sterujących pracą zegara. Tranzystor T11 (BC558) wraz z rezystorami R15 (3,3k) i R16 (3,3k) stanowią obwód sterujący brzęczykiem B1, który pełni rolę sygnału budzenia. Złącza GpA (Goldpin) i GpK (Goldpin) zapewniają połączenie między płytką sterownika i płytką wyświetlacza. Złącze Prog oraz rezystory R11 - R14 (330R) tworzą interfejs umożliwiający programowanie mikrokontrolera U1. Do zasilania płytki sterownika służy prosty zasilacz zbudowany w oparciu o stabilizator U3 (7805) i kondensatory C1 (220uF) i C2 (47uF). Tranzystory wysokonapięciowe T1 - T2 (MPSA42) wraz z rezystorami R1 - R10 (33k) sterują pracą katod lamp wyświetlacza.

Na rysunku poniżej przedstawiony jest schemat ideowy wyświetlacza:

Lampy L1 - L4 (LC513) podłączone są tak aby umożliwić ich multipleksowanie. Tranzystory T1 (MPSA92) i T2 (MPSA42) wraz z rezystorami R1 (33k), R2 (470k) i R3 (100k) są odpowiedzialne za dołączanie anody lampy L1 do wysokiego napięcia podczas multipleksowania. Prąd płynący przez L1 ograniczony jest za pomocą rezystora R13 (47k). Pozostałe lampy zasilane są w identyczny sposób za pomocą tranzystorów T3 - T8 i rezystorów R4 - R12. Neonówka stanowiąca punkt oddzielający minuty od godzin jest sterowana tranzystorem T9 (MPSA42). Rezystor R18 (220k) ogranicza jej prąd. Złacza GpA i GpK służą do podłączenia płytek zegara z sobą natomiast złącze HV jest punktem podłączenia przetwornicy podwyższającej zapewniającej wysokie napięcie dla lamp wyświetlacza.

Budowa:


Sterownik zegara można zbudować na płytce drukowanej dostępnej tutaj. Rysunek w odbiciu lustrzanym znajduje się tutaj. Montaż należy rozpocząć od wlutowania dwóch zworek a następnie należy zamontować wszystkie rezystory. Pod mikrokontroler U1 oraz układ RTC U2 dobrze jest wlutować podstawkę. Buzzer B1 należy umieścić po stronie druku, a następnie w tylnej ściance obudowy wykonać niewielki otwór (otwory). Zwiększy to natężenie dźwieku słyszanego z wnętrza obudowy. Zamiast kondensatora C3 dobrze jest zastosować dobrej jakości trymer 4-40pF wlutowany od strony druku. Kondensator Elektrolityczny C4 stanowiący źródło zasilania awaryjnego należy zamontować w pozycji leżącej. Stabilizator U3 po wlutowaniu w pozycji leżącej będzie wystawał poza płytkę. Żeby temu zaradzić można zastosować 78L05 w małej obudowie. Złącza GpA i GpK powinny być złączami szufladkowymi w które zostaną wpięte goldpiny na płytce wyświetlacza. Uwaga!! Na płytce brakuje kondensatora 100nF MKT, należy go wlutować od spodu płytki między nóżkami 4 i 8 układu U2. Pomocą przy konstrukcji może okazać się schemat montażowy dostępny tutaj.

Wyświetlacz można zbudować w oparciu o płytkę drukowaną dostępną tutaj. Rysunek w odbiciu lustrzanym znajduje się tutaj. Lutowanie rozpoczynamy od zamontowania wszystkich zworek a następnie wszystkie małe elementy. Na samym końcu zabieramy się do montażu lamp i neonówki. Pod lampy zamiast podstawek najlepiej jest wykorzystać piny do złącz żeńskich typu BLS (wtyki na goldpiny montowane na kabel, takie jak tutaj) lutując w miejsce kabla pojedyńczy goldpin a następnie całość w płytkę. Na wystający pin można nałożyć kawałek koszulki termokurczliwej jak to widać na fotografiach na dole strony. Pomocą przy konstrukcji może okazać się schemat montażowy dostępny tutaj.

Obudowę do zegara najlepiej zrobić z drewna dębowego. Projekt dostępny jest tutaj. Do wykonania tej konstrukcji potrzebne jest około 70cm listwy o grubośći 8mm i szerokości 8cm. Należy zwrócić uwagę na to aby wspomniana listwa była dobrze wyheblowana i miała jednakową szerokość na całej długości (nie musi być dokładnie 8cm). Ułatwi to klejenie całości i wyeliminuje możliwość powstania szpar. Płytki w obudowie można zamocować za pomocą kleju termotopliwego. Moja obudowa "prototyp" widoczna na zdjęciach nie jest wykonana dokładnie według opisywanego projektu, gdyż on powstał na jej podstawie. Projekt posiada kilka drobnych poprawek :).

Podłączenie klawiatury:


PinC.0 +Minuty (wejdź do nastaw budzika)
PinC.1 -Minuty (włącz budzik)
PinC.2 +Godziny (wejdź do nastaw czasu)
PinC.3 -Godziny (wyłącz budzik)

Wykaz Elementów:


1x Zlacze Srubowe ARK2(podwójne)
1x Listwa Goldpin 1x2
1x Listwa Goldpin 1x6
1x Listwa Goldpin 1x10
1x Listwa Goldpin 1x5
1x Zlacze Szufladkowe 1x8
1x Zlacze Szufladkowe 1x6
1x Zlacze Szufladkowe 1x10
52x Piny do złącz żeńskich typu BLS (na goldpiny)
4x uSwitch 12x12mm (lub inny przycisk N.O.)

4x 330R
2x 3,3k
15x 33k
4x 47k
4x 100k
1x 220k
4x 470k

1x 33pF
1x 47uF Elektrolit
1x 220uF Elektrolit
1x 4700uF/5V Elektrolit

1x Neonowka
4x Lampa Nixie LC513

1x Rezonator Kwarcowy 32768Hz
1x 1N4007
15x MPSA42
4x MPSA92
1x BC558
1x Stabilizator 7805
1x Mikrokontroler ATMEGA8 + Podstawka
1x Uklad RTC PCF8583
1x Buzzer z Generatorem 5V

Programowanie:


Mikrokontroler do sterownika został zaprogramowany w wersji demo programu BASCOM AVR firmy MCS Electronics (http://www.mcselec.com/). Program przedstawiony jest poniżej:

'************* Konfiguracja uC *****************
'***********************************************
$regfile = "m8def.dat"
$crystal = 8000000              'oscylator RC wewnetrzny
 
 
Config Portb = &B11111111 : Portb = &B00000001
Config Portc = &B00000000 : Portc = &B11111111
Config Portd = &B11111111 : Portd = &B00000000
 
 
Config Scl = Portc.5
Config Sda = Portc.4
I2cinit
 
Config Timer0 = Timer , Prescale = 64
Enable Timer0 : On Timer0 Prztimer0
 
Enable Interrupts
'********** Konfiguracja uC Koniec  ************
 
'******************  Zmienne *******************
'***********************************************
Dim Flaga4ms As Bit , Flaga05s As Bit
 
Dim Co4ms As Byte , Co05s As Byte
Dim Godziny As Byte , Minuty As Byte , Sekundy As Byte
Dim Godziny_budz As Byte , Minuty_budz As Byte
Dim Godziny_bcd As Byte , Minuty_bcd As Byte , Sekundy_bcd As Byte
 
Dim Mux As Byte
Dim Stan_katod As Byte
Dim Wartosc_wysw(2) As Byte
 
Dim Neonowka As Bit
Dim Licznik_sw As Byte , Stan_portu As Byte , Sw_port As Byte
Dim Poz_menu As Byte
 
Dim Zezwolenie_budz As Bit
Dim Autopowrot_ust(2) As Byte
Dim Temp As Byte
Dim Sygnal_budzenia As Byte
'*************  Zmienne Koniec  ****************
'************ Warunki Poczatkowe ***************
'***********************************************
Poz_menu = 0
Flaga05s = 1
Readeeprom Temp , 2                                 
If Temp = 100 Then Zezwolenie_budz = 1 Else Zezwolenie_budz = 0
Readeeprom Godziny_budz , 3
Readeeprom Minuty_budz , 4
If Godziny_budz > 23 Then Godziny_budz = 8
If Minuty_budz > 59 Then Minuty_budz = 0
'*******  Warunki Poczatkowe Koniec  ***********
'**************  Petla glowna ******************
'***********************************************
Do
  If Flaga4ms = 1 Then
    Flaga4ms = 0
    Gosub Wyswietl
    Gosub Przyciski
  End If
 
  If Flaga05s = 1 Then
    Flaga05s = 0
    Neonowka = Not Neonowka
    Select Case Poz_menu
      Case 0:                                               ' odczyt czasu z rtc
        I2cstart
        I2cwbyte 162                                        'adres zapisu
        I2cwbyte 2                                          'rejestr sekund
        I2cstart
        I2cwbyte 163                                        'adres odczytu
        I2crbyte Sekundy_bcd , Ack
        I2crbyte Minuty_bcd , Ack
        I2crbyte Godziny_bcd , Nack
        I2cstop
        Sekundy = Makedec(sekundy_bcd)
        Minuty = Makedec(minuty_bcd)
        Godziny = Makedec(godziny_bcd)
      Case 1:                                             
        Incr Autopowrot_ust(1)
        If Autopowrot_ust(1) = 8 Then
          Gosub Zapis_rtc
          Poz_menu = 0
        End If
      Case 2:                                              
        Incr Autopowrot_ust(2)
        If Autopowrot_ust(2) = 8 Then
          Writeeeprom Godziny_budz , 3
          Writeeeprom Minuty_budz , 4
          Poz_menu = 0
        End If
    End Select
 
    If Sygnal_budzenia > 0 Then
      Portb.0 = 0
      Decr Sygnal_budzenia
      If Sygnal_budzenia = 0 Then Portb.0 = 1
    End If
 
    Gosub Budzenie
 
  End If
Loop
End
'************ Petla glowna Koniec **************
'************ Przerwanie timer 0 ***************
'***********************************************
Prztimer0:
  'Incr Co4ms
  'If Co4ms >= 2 Then
      'Co4ms = 0
    Set Flaga4ms                         'dziala co 2 ms  mimo nazwy
    Incr Co05s
    If Co05s >= 250 Then
      Co05s = 0
      Set Flaga05s
    End If
  'End If
 
Return
'******** Przerwanie timer 0 Koniec ************
 
'***********************************************
Wyswietl:
  Incr Mux
  If Mux = 8 Then Mux = 0
  Select Case Poz_menu
    Case 0:                                                 'pokazuj czas
      Portb.1 = Neonowka
      Wartosc_wysw(1) = Godziny                             'wysw 1 i 2
      Wartosc_wysw(2) = Minuty                              'wysw 3 i 4
    Case 1:                                                 'ustawiaj czas
      Portb.1 = 1
      Wartosc_wysw(1) = Godziny                             'wysw 1 i 2
      Wartosc_wysw(2) = Minuty                              'wysw 3 i 4
    Case 2:                                                 'ustaw budzik
      Portb.1 = 0
      Wartosc_wysw(1) = Godziny_budz                        'wysw 1 i 2
      Wartosc_wysw(2) = Minuty_budz                         'wysw 3 i 4
  End Select
 
  Select Case Mux
    Case 0:
      Stan_katod = Wartosc_wysw(1) / 10
      If Stan_katod = 0 Then Stan_katod = 10        
      Gosub Ustaw_katody
      Set Portb.5
    Case 1:
      Reset Portb.5
    Case 2:
      Stan_katod = Wartosc_wysw(1) Mod 10
      Gosub Ustaw_katody
      Set Portb.4
    Case 3:
      Reset Portb.4
    Case 4:
      Stan_katod = Wartosc_wysw(2) / 10
      Gosub Ustaw_katody
      Set Portb.3
    Case 5:
      Reset Portb.3
    Case 6:
      Stan_katod = Wartosc_wysw(2) Mod 10
      Gosub Ustaw_katody
      Set Portb.2
    Case 7:
      Reset Portb.2
 
  End Select
Return
'***********************************************
Ustaw_katody:
  Select Case Stan_katod
    Case 0 :
      Portb.6 = 0 : Portb.7 = 0 : Portd = 128
    Case 1:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 64
    Case 2:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 32
    Case 3:
      Portb.6 = 0 : Portb.7 = 1 : Portd = 0
    Case 4:
      Portb.6 = 1 : Portb.7 = 0 : Portd = 0
    Case 5:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 16
    Case 6:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 8
    Case 7:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 4
    Case 8:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 2
    Case 9:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 1
    Case 10:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 0
  End Select
Return
'***********************************************
Przyciski:
  Sw_port = Pinc
  Sw_port = Sw_port Or &B11110000
  If Sw_port <> 255 And Stan_portu = Sw_port Then
    Incr Licznik_sw
    If Licznik_sw = 80 Then
      Licznik_sw = 0
      Select Case Stan_portu
        Case 247:                                           '-godziny
          Select Case Poz_menu
            Case 0:                                         'wylacz budzik
              Zezwolenie_budz = 0
              Temp = 0
              Writeeeprom Temp , 2
              Sygnal_budzenia = 2                           'sygnal na 0,5s
            Case 1:
              Decr Godziny
              If Godziny = 255 Then Godziny = 23
            Case 2:
              Decr Godziny_budz
              If Godziny_budz = 255 Then Godziny_budz = 23
          End Select
        Case 251:                                           '+godziny
          Select Case Poz_menu
            Case 0:                                         'wchodzi do ustawien czasu
              Poz_menu = 1
            Case 1:
              Incr Godziny
              If Godziny = 24 Then Godziny = 0
            Case 2:
              Incr Godziny_budz
              If Godziny_budz = 24 Then Godziny_budz = 0
          End Select
        Case 253:                                           '-minuty
          Select Case Poz_menu
            Case 0:                                         'wlacz budzik
              Zezwolenie_budz = 1
              Temp = 100
              Writeeeprom Temp , 2
              Sygnal_budzenia = 3                           'sygnal na 1s
            Case 1:
              Decr Minuty
              If Minuty = 255 Then Minuty = 59
            Case 2:
              Decr Minuty_budz
              If Minuty_budz = 255 Then Minuty_budz = 59
          End Select
        Case 254:                                           '+minuty
          Select Case Poz_menu
            Case 0:                                         'wchodzi do ustawien budzika
              Poz_menu = 2
            Case 1:
              Incr Minuty
              If Minuty = 60 Then Minuty = 0
            Case 2:
              Incr Minuty_budz
              If Minuty_budz = 60 Then Minuty_budz = 0
          End Select
       'Case 252:
      End Select
 
      Autopowrot_ust(1) = 0
      Autopowrot_ust(2) = 0
 
    End If
  Else
    Stan_portu = Sw_port
    Licznik_sw = 60
  End If
Return
'***********************************************
Zapis_rtc:
  Godziny_bcd = Makebcd(godziny)
  Minuty_bcd = Makebcd(minuty)
  Sekundy_bcd = 0
 
  I2cstart
  I2cwbyte 162
  I2cwbyte 0                                                'rejestr kontrolny
  I2cwbyte 8                                                'ustawienie rejestru zapisu daty
 
  I2cstop
  I2cstart
  I2cwbyte 162
  I2cwbyte 2
 
  I2cwbyte Sekundy_bcd
  I2cwbyte Minuty_bcd
  I2cwbyte Godziny_bcd
  I2cstop
Return
'***********************************************
Budzenie:
  If Zezwolenie_budz = 1 Then
    If Godziny = Godziny_budz And Minuty = Minuty_budz Then
      Portb.0 = Neonowka
    Else
      If Sygnal_budzenia = 0 Then Portb.0 = 1
    End If
  End If
Return
'***********************************************

Ustawienia fusebitów mikrokontrolera przedstawione są na rysunku poniżej(Zrzut z programu Burn-O-Mat):

Zdjęcia Projektu:

ZałącznikWielkość
Sterownik Schemat101.8 KB
Wyświetlacz Schemat41.45 KB
Sterownik Płytka17.31 KB
Sterownik Płytka (odbicie lustrzane)17.46 KB
Sterownik Płytka (3 na stronie)48.04 KB
Sterownik Montowanie23.51 KB
Wyświetlacz Płytka18.06 KB
Wyświetlacz Płytka (odbicie lustrzane)18.12 KB
Wyświetlacz Płytka (3 na stronie)49.29 KB
Wyświetlacz Montowanie22.59 KB
Kod Źródłowy9.28 KB
Program po kompilacji (BIN)2.21 KB
Program po kompilacji (HEX)6.23 KB
Obudowa (Projekt)47.84 KB
Obudowa (Maska do wiercenia otworów)24.47 KB



Portret użytkownika Maciek

Nie, rezysotry mam tylko na

Nie, rezysotry mam tylko na płytce z zegarem, programator już jest pozbawiony tych rezystorów.
330R zmienić na 100R, tak?

Portret użytkownika mirley

Re: Nixie

Zamień i zobacz..... płytkę z wyświetlaczem odepnij podczas programowania, żeby na pewno nic nie zakłócało pracy procka

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Maciek

Niestety, zmiana rezystorów

Niestety, zmiana rezystorów nic nie dała, nie mam pojęcia co jest nie tak. Jakiekolwiek rady? Jedyna opcja jaką ja widzę, to kupić wszystkie nowe elementy i zbudować 3 płytkę od nowa, ale to będzie kosztowało dużo pracy,czasu i pieniążków. Pozdrawiam
P.S. Rozważam zbudowanie programatora na usb, ale co jeżeli jest jakiś błąd na płytce a nie w programtorze, gdzie nie ma miejsca na błąd, bo jest banalnie prostym układem?
Pozdrawiam

Portret użytkownika mirley

Nixie

Możesz jeszcze sprówać zaprogramowac samego proska, wyjmując go z układu, wkłądając w płytkę stykową i dodając elementy lub lutując na płytce uniwersalnej podstawkę i kwarc itp. Żeby odciąć się na razie od układu zegara i pokonac problemy z programowaniem. Zrobienie programatora nic nie da bo USBASPa tez trzeba zaprogramowac przez LPT

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika DamianK

Złe działanie

Witam.
Zbudowałem zegarek, zastosowałem lampy IN-14. Płytki projektowałem sam, ponieważ stosowałem procesor SMD. Wgrywanie wsadu przebiegło bez najmniejszych problemów, lecz niestety zegarek źle działa tzn. po włączeniu wyświetla się 11:11, po minucie 00:00 po następnej minucie 99:99 następnie 88:88 i tak w kółko. Co może być przyczyną?

Portret użytkownika Mariusz

Problem

Witam mam problem tego rodzaju że świecą się same 5 raczej migają i nie mam pojęcia a co chodzi przestawiłem aby atmega8 korzystała z kwarca wewnętrznego i dalej to samo może ktoś spotkał sie z takim czymś proszę o pomoc i dziękuje

Portret użytkownika Bartek

Plik hex

Witam. Czy mógłby Pan wysłac mi na maila plik ze skompilowanym kodem hex? Niestety nie mogę go pobrać ze strony. Z góry dziekuje ;)

Portret użytkownika Bartek

Moj mail to

Moj mail to

Portret użytkownika Michał

Fuse bity

Witam. Pomógłby mi Pan w ustawieniu fuse bitów w AVR Studio? Niestety nie mam takich ustawień jak Pan i nie wiem jak to zrobić. Co mam zaznaczyć? U mnie wygląda to tak: http://www.iv.pl/images/37551844418118821823.jpg

Portret użytkownika mirley

Re: nixie

Co do kodu to kliknij poprosty prawym i opcja zapisz element docelowy jako..... niektóre przeglądarki odczytują hexa jako zwykły plik tekstowy i potem jest problem

Co do fusebitów to popraw link do obrazka bo się nie otwiera

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Bartek G

Witam! Mam problem z tym

Witam! Mam problem z tym zegarem. Po złozeniu i uruchomieniu zegar nie dziala prawidłowo. Zamiast minut zmieniają sie wszystkie lampy po kolei. Godzinyi minuty zmieniaja sie równocześnie, tzn jest godzina 44;44, za minute jest juz 55;55. Jak to naprawić i co może byc tego pczyczyną? Proszę o pomoc. Pozdrawiam

Portret użytkownika mirley

Re: Nixie

Fizycznie jest to niemozliwe..... jesli nie grzebałeś w kodzie i schemat zgadza się z tym co wyżej to nie ma prawa się tak stać. Zobacz czy np nie masz zwarcia na tranzystorach sterujących anodami lamp

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Marcin 4

Zegarek się spieszy.

Witam! Po uruchomieniu mój zegarek troszkę się spieszy. Należy zwiększyć czy zmniejszyć pojemność kondensatora 33pf?

Pozdrawiam i czekam na odpowiedz

Portret użytkownika Grzeniu 73

zamontuj trymer

zamontuj trymer

Portret użytkownika mirley

Re: Nixie

Sprawdź czy masz kondensator 100nF między nózką 4 a 8 wlutowany od spodu blisko okładu

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Maciek

Programowanie

Witam
Nie mogę zaprogramować płytki ze sterownikiem. Jest to już 3 identyczna płytka, zrobiłem także drugi programator (sample electronics programmer). Napięcie podaję na płytkę(cały układ), nie ma zwarć. Próbowałem zmniejszać oporniki, też to nic nie dało. Może źle podpinam wtyki z programatora. Patrząc od 'wejścia' napięcia na płytkę, piny podłączone są tak: puste, gnd, reset, mosi, miso,sck. Tak to podpinam, niestety nic nie idzie, w bascomie mam 'could not identify chip id:ffffff'. Pomóżcie mi, bo to 3 podejście do zegara, a do świąt muszę go skończyć. Pozdrawiam

Portret użytkownika arek1996

Witam. Czy można dostać pliki

Witam. Czy można dostać pliki płytek w programie w którym to Kolega zrobił? Chciałbym dołożyć sobie jeszcze jedną neonówkę. Czy lampy dobrze świecą w świetle słonecznym, tzn. czy widać co jest wyświetlane? Byłbym wdzięczny.

Portret użytkownika Maciek

Ok, zaprogramowałem za pomocą

Ok, zaprogramowałem za pomocą USBasp. Teraz mam taki problem. Po podlączeniu kondensatora 100nF miedzy 4 a 8 nogę układu u2 zegarek wskazuje 00:00 i miga neonówka. Po jego zdjęciu zegar odmierza czas ale jest np 11:xx 22:xx 33:xx, o co chodzi?

Portret użytkownika mirley

Re: Nixie

ten prosty programator zawsze sprawia jakieś kłopoty ale o dziwo kiedyś dużo właśnie takim prostym programatorem robiłem. Sprawdź czy ten kondensator nie robi zwarcia na zasilaniu układu, nie ma prawa pogorszyć jego pracy jeśli włączyłeś go między nóżki zasilające układu U2. Co do takich samych cyfr to sprawdź czy nie masz zwarcia gdzieś przy sterowaniu tranzystorami od anod lamp.

Co do płytki w formacie edytowalnym to nic takiego nie umieszczę na stronie, pomimo zapewnień że to tylko do użytku własnego

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika arek1996

Witam. Stosowałeś zamienniki

Witam. Stosowałeś zamienniki tranzystorów wysokonapięciowych? Są inaczej wlutowane na zdjęciu niż na obrazku montażowym.

Portret użytkownika mirley

Re: Nixie

Nie stosowałem zamienników tylko zrobiłem błąd i źle płytkę narysowałem.... Zauważ że płytka dostępna w PDF ma inny układ tranzystorów niż ta na zdjęciach. Ta w PDF jest dobra i ma odwrócone tranzystory

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika gosc111

Małe pytanie

Mam proźbe odnośnie elementów. Byłem w sklepie elektronicznym i sprzedawca nie wiedział jak wyglądają:
1x Zlacze Szufladkowe 1x8
1x Zlacze Szufladkowe 1x6
1x Zlacze Szufladkowe 1x10
52x Piny do złącz żeńskich typu BLS (na goldpiny)

Prosze o wyjaśnienie

Portret użytkownika EDC

Mała odpowiedź

Może wydrukuj sprzedawcy to się rozjaśni :D
Tu masz link do sklepu se stroną o tych złaczach Link do Złącza/Goldpin
Gniazdo na goldpin chyba się tam nazywa :) Porównaj ze zdjęciami projektu.
Jedynka przed x to że jednorzędowe, ilość pinów po x Jak nie ma jakiś to kup większe i sobie przytniesz nożem do tapet albo cążkami. Miłego wieczoru.

-

BARTek
Moja strona

Portret użytkownika Maciej

Ostatnia lampa nie resetuje cyfr

Witam,
mam problem z zegarkiem. Odmierza on czas, ale ostatnia lampa nie wygasza nieaktywnych cyfr. Czyli jeżeli pokazywała 3, to po przejściu do 4 wyświetla dwie cyfry. Co jest nie tak i gdzie szukać problemu?

Następny problem, ale już mniej istotny - po dołączeniu kondesatora 100nF zegarek przestaje odmierzać czas, stoi na 0:00 i nie rusza się. Kiedy chcę zmienić/ustawić godzinę, to nawet jej nie zapisuje i dalej pokazuje 0. Neonówka miga, ale czasu nie odmierza.

Pozdrawiam

Portret użytkownika Maciej

Rozwiaząłem

Hej,
rozwiązałem problem wyświetlania kilku cyfr jednocześnie na ostatniej lampie. Problemem były tranzystory T7 i T8, po ich wymianie na nowe problem ustąpił.

Mam dalej problem z kondensatorem 100nF, kiedy go podpinam to zegar przestaje odmierzać czas - neonówka miga a cyfry na lampach się nie zmieniają. Jakieś pomysły? Próbowałem z kilkoma kondensatorami.

Pzdr

Portret użytkownika EDC

Odp. Zegar Nixie

Quote:
100nF MKT, należy go wlutować od spodu płytki między nóżkami 4 i 8 układu U2.

Czwarta nóżka to masa układu, a ósma to plus zasilania. Gdybyś podłączył się do właściwych nóżek i zrobił kondensatorem zwarcie nic by tam nie żyło. Wniosek nasuwa się następujący : Podłączasz się do nie tych nóżek..
Patrząc na układ scalony z góry, mając przed sobą napisy tak się je liczy:

Kondensator więc podłącza się "po przekątnej" układu.

Miłego dnia.

-

BARTek
Moja strona

Portret użytkownika Maciej

Dzięki Bartek, wczoraj do

Dzięki Bartek, wczoraj do tego doszedłem, zanim odpowiedziałeś. Podpinałem się wcześniej do nóżek 4 i 5 no ale coś mnie tknęło, żeby sprawdzić pinouty :D

Portret użytkownika demsy1

Witam. Czy przerabiał ktoś

Witam
Czy przerabiał ktoś może ten projekt pod wyświetlacz 7-segmentowy LED?

Portret użytkownika EDC

Odp. Zegar Nixie

Najpierw musisz mieć wyświetlacz 7-segmentowy i wiedzieć czy wspólne są w nim Anody czy Katody. Inaczej mówiąc czy wspólny jest plus i poszczególne segmenty włącza się podając minus, czy odwrotnie. Chyba, że dopiero zamierzasz kupić to kup taki ze wspólną Anodą.

-

BARTek
Moja strona

Portret użytkownika demsy1

Zegarek

Witam
Posiadam 4 duże wyświetlacze prawie 57mm wysokość cyfry, wspólna katoda.
Podłączyłby tak. Wyświetlacze pod 9V

Tylko z kodem będzie troche problem

Portret użytkownika EDC

Odp.Zegar

Musisz się chyba przyjrzeć obrazkowi który przygotowałem. Lubie takie zabawy w grafiką więc nie przysporzyło to żadnych trudności. Wspólna Katoda to wspólny Minus, więc Twój obrazek jakoś do mnie nie dociera.
Powęszyłem i sprawdziłem, taki wyświetlacz wysoki na 57mm. Okazuje się, że w środku są cztery diody na segment. Napięcie się więc rozkłada. Dla diod bardzo ważne jest by nie przekraczać amperażu. W tym przypadku ma być 20mA więc wrzuciłem dane do kalkulatorka i wyliczył że potrzebny będzie jeszcze 50 Ohm rezystor dla każdego segmentu.

Teraz możesz kombinować. Jak trzeba będzie to odwrócimy porty(w zależności jak podłączysz) i przerobi się kodzik bo zapomniałem że tu jest pin na każdą cyfrę.

Miłego dnia.

-

BARTek
Moja strona

Portret użytkownika demsy1

Coś takiego wymyśliłem Moje

Coś takiego wymyśliłem
Moje założenia jeszcze to obsługa czujnika DS18B20

Portret użytkownika EDC

Odp. Zegar

No i gitara. Przeróbki wymaga tylko to, że rezystor nie może być wspólny. Te rezystory od 29 do 32 chyba trzeba zdjąć, a wsadzić po jednym 50 Ohm pomiędzy wyświetlacze a tranzystory, te zasilające od plusa.

-

BARTek
Moja strona

Portret użytkownika demsy1

Faktycznie te rezystory źle

Faktycznie te rezystory źle podłączyłem, przy takim podłączeniu ugotowałyby się

Portret użytkownika demsy1

Mam jeszcze zamiar zacząć

Mam jeszcze zamiar zacząć pisać program od odliczania czasu rzeczywistego i wyświetleniu tego na LCD, a następnie podpiąć wyświetlacze i zmultipexować, nie wiem czy to dobre podejście, ale chciałbym się nauczyć

Portret użytkownika EDC

Odp. Zegar

To będzie już zupełnie inny watek nie powiązany z lampami Nixie. Proponuje Ci założyć nowy wątek na Forum, żeby tu nie śmiecić :D. Jeśli zegar ma mieć np. trzy tryby: zegar, odliczanie i pokazywanie temperatury, to wymaga innego podejścia od początku programu.

-

BARTek
Moja strona

Portret użytkownika gosc21

Witam, wykonałem sobie ten

Witam, wykonałem sobie ten zegarek wszystko ładnie działa lecz strasznie mi spóźnia, w ciągu kilku dni o 1,5h co mogłem zrobić nie tak?

Portret użytkownika mirley

Nixie

A dolutowałeś kondensator filtrujący 100nF zasilanie RTC bezpośrednio do jego nóżek? To może ewidentnie pomóc

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika boki

lampy Nixie typu LC513

Czesc mirley. Mam pytanie odnosnie Lampek. Moge zamienic Nixie typu LC513 na IN-12B . Dzieki i pozdrawiam :D

Portret użytkownika boki

lampki

Witam wszystkich . Mam pytanie odnośnie lampek . Mogę je zamienić na typ: in-12

Portret użytkownika mirley

Re: Nixie

Prawie kazda lampa powinna działać... kwestia dobrania prądu i napięcia pracy.... musisz poszukac pdf, do swojej lamy i porównac z parametrami mojej

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika boki

in-12

znalazłem coś takiego. Możesz mi powiedzieć czy spełnia wymagania pod twój projekt bez zmian napięcia ?? Ps: Jestem amatorem ale myślę że dam radę zrobić krok po kroku twoje cudeńko . Pozdrawiam i z góry dziękuję za pomoc

Portret użytkownika Kamyk80

Witam ja sprawdzałem te lampy

Witam ja sprawdzałem te lampy i pracują bez problemu Pozdrawiam

Portret użytkownika boki

Mam teraz pytanie z innej

Mam teraz pytanie z innej beczki mogę zastąpić 4700uF/5V Elektrolit na kondesator 4700 uF 16V ??

Portret użytkownika matrix

Re: Pytanie z innej beczki

Kondensator o mniejszym napięciu zawsze można zamienić na kondensator o wyższym napięciu, ale nie odwrotnie.

Portret użytkownika boki

Dziękuję za szybka odpowiedz

Dziękuję za szybka odpowiedz . Czyli mogę :) . Juz powoli kończę zegar czekam jeszcze na programator. Pozdrawiam

Portret użytkownika gosc21

Tak dolutowany jest

Tak dolutowany jest Kondensator do RTC

Portret użytkownika gosc21

Nie mam pojęcia dlaczego mi

Nie mam pojęcia dlaczego mi tak strasznie spóźnia ten zegarek... ma ktoś jakieś podpowiedzi? 100nF dolutowany do pinów zasilania PCF, program nie ruszany wgrany prosto ze strony.

Portret użytkownika emil134679

Fusebity

Musisz mieć prawdopodobnie źle zaprogramowane fusebity a wtedy zegar procesora nie będzie pracował prawidłowo więc i czas :)

Portret użytkownika diquan

Wyświetlanie daty

Witam, czy dużo zmian trzeba by wprowadzić w kodzie, aby powiedzmy co pół godziny wyświetlana była data w formacie dd/mm ? Z odczytaniem daty z rtc powinienem sobie poradzić, gorzej z ustawieniem daty przyciskami.