Zegar Nixie


8.57143
Ocena: None Średnia: 8.6 (7 głosujących)

Urządzenie to jak każdy inny zagar służy do odmierzania czasu, jednak jego niezwykłość przejawia się w zastosowanym wyświetlaczu, którym są cztery lampy Nixie typu LC513. Odmierzaniem czasu zajmuje się układ zegara czasu rzeczywistego PCF8583 a całość sterowana jest za pomocą mikrokontrolera ATMega8. W celu poprawnego odliczania czasu podczas zaniku napięcia zasilającego zastosowano kondensator elektrolityczny o dużej pojemności. Lampy zasilane za pomocą Przetwornicy 9V/150V dzięki czemu nie jest konieczne stosowanie dwóch transformatorów. Zegar taki po wyposażeniu w drewnianą obudowę będzie znakomitą i nietypową ozdobą w każdym domu.



Działanie:


Schemat ideowy sterownika przedstawiony jest na rysunku poniżej:

Sercem układu jest mikrokontroler U1 (ATMega8). Pracuje on na wewnętrznym oscylatorze RC o częstotliwości 8MHz przez co dwa wolne wyprowadzenia zostały wykorzystane do sterowania katod wyświetlacza. Odmierzaniem czasu zajmuje się układ U2 (PCF8583) pracujący z rezonatorem kwarcowym X1 (32,768kHz) i kondensatorem/trymerem C3 (33pF). Jest to popularny i często stosowany układ RTC (real-time clock), zegar czasu rzeczywistego komunikujący się z mikrokontrolerem za pomocą magistrali I2C. Dioda D1 (1N4007) i kondensator C4 (4700uF/5V) stanowią prosty obwód podtrzymania na kilka godzin zasilania dla układu U2 w przypadku zaniku napięcia sieci. Rezystory R17 (3,3k) i R18 (3,3k) podciągają wyprowadzenia mikrokontrolera, stanowiące magistralę I2C, do plusa zasilania. Złącze SW (Goldpin) służy do podłączenia czterech przycisków sterujących pracą zegara. Tranzystor T11 (BC558) wraz z rezystorami R15 (3,3k) i R16 (3,3k) stanowią obwód sterujący brzęczykiem B1, który pełni rolę sygnału budzenia. Złącza GpA (Goldpin) i GpK (Goldpin) zapewniają połączenie między płytką sterownika i płytką wyświetlacza. Złącze Prog oraz rezystory R11 - R14 (330R) tworzą interfejs umożliwiający programowanie mikrokontrolera U1. Do zasilania płytki sterownika służy prosty zasilacz zbudowany w oparciu o stabilizator U3 (7805) i kondensatory C1 (220uF) i C2 (47uF). Tranzystory wysokonapięciowe T1 - T2 (MPSA42) wraz z rezystorami R1 - R10 (33k) sterują pracą katod lamp wyświetlacza.

Na rysunku poniżej przedstawiony jest schemat ideowy wyświetlacza:

Lampy L1 - L4 (LC513) podłączone są tak aby umożliwić ich multipleksowanie. Tranzystory T1 (MPSA92) i T2 (MPSA42) wraz z rezystorami R1 (33k), R2 (470k) i R3 (100k) są odpowiedzialne za dołączanie anody lampy L1 do wysokiego napięcia podczas multipleksowania. Prąd płynący przez L1 ograniczony jest za pomocą rezystora R13 (47k). Pozostałe lampy zasilane są w identyczny sposób za pomocą tranzystorów T3 - T8 i rezystorów R4 - R12. Neonówka stanowiąca punkt oddzielający minuty od godzin jest sterowana tranzystorem T9 (MPSA42). Rezystor R18 (220k) ogranicza jej prąd. Złacza GpA i GpK służą do podłączenia płytek zegara z sobą natomiast złącze HV jest punktem podłączenia przetwornicy podwyższającej zapewniającej wysokie napięcie dla lamp wyświetlacza.

Budowa:


Sterownik zegara można zbudować na płytce drukowanej dostępnej tutaj. Rysunek w odbiciu lustrzanym znajduje się tutaj. Montaż należy rozpocząć od wlutowania dwóch zworek a następnie należy zamontować wszystkie rezystory. Pod mikrokontroler U1 oraz układ RTC U2 dobrze jest wlutować podstawkę. Buzzer B1 należy umieścić po stronie druku, a następnie w tylnej ściance obudowy wykonać niewielki otwór (otwory). Zwiększy to natężenie dźwieku słyszanego z wnętrza obudowy. Zamiast kondensatora C3 dobrze jest zastosować dobrej jakości trymer 4-40pF wlutowany od strony druku. Kondensator Elektrolityczny C4 stanowiący źródło zasilania awaryjnego należy zamontować w pozycji leżącej. Stabilizator U3 po wlutowaniu w pozycji leżącej będzie wystawał poza płytkę. Żeby temu zaradzić można zastosować 78L05 w małej obudowie. Złącza GpA i GpK powinny być złączami szufladkowymi w które zostaną wpięte goldpiny na płytce wyświetlacza. Uwaga!! Na płytce brakuje kondensatora 100nF MKT, należy go wlutować od spodu płytki między nóżkami 4 i 8 układu U2. Pomocą przy konstrukcji może okazać się schemat montażowy dostępny tutaj.

Wyświetlacz można zbudować w oparciu o płytkę drukowaną dostępną tutaj. Rysunek w odbiciu lustrzanym znajduje się tutaj. Lutowanie rozpoczynamy od zamontowania wszystkich zworek a następnie wszystkie małe elementy. Na samym końcu zabieramy się do montażu lamp i neonówki. Pod lampy zamiast podstawek najlepiej jest wykorzystać piny do złącz żeńskich typu BLS (wtyki na goldpiny montowane na kabel, takie jak tutaj) lutując w miejsce kabla pojedyńczy goldpin a następnie całość w płytkę. Na wystający pin można nałożyć kawałek koszulki termokurczliwej jak to widać na fotografiach na dole strony. Pomocą przy konstrukcji może okazać się schemat montażowy dostępny tutaj.

Obudowę do zegara najlepiej zrobić z drewna dębowego. Projekt dostępny jest tutaj. Do wykonania tej konstrukcji potrzebne jest około 70cm listwy o grubośći 8mm i szerokości 8cm. Należy zwrócić uwagę na to aby wspomniana listwa była dobrze wyheblowana i miała jednakową szerokość na całej długości (nie musi być dokładnie 8cm). Ułatwi to klejenie całości i wyeliminuje możliwość powstania szpar. Płytki w obudowie można zamocować za pomocą kleju termotopliwego. Moja obudowa "prototyp" widoczna na zdjęciach nie jest wykonana dokładnie według opisywanego projektu, gdyż on powstał na jej podstawie. Projekt posiada kilka drobnych poprawek :).

Podłączenie klawiatury:


PinC.0 +Minuty (wejdź do nastaw budzika)
PinC.1 -Minuty (włącz budzik)
PinC.2 +Godziny (wejdź do nastaw czasu)
PinC.3 -Godziny (wyłącz budzik)

Wykaz Elementów:


1x Zlacze Srubowe ARK2(podwójne)
1x Listwa Goldpin 1x2
1x Listwa Goldpin 1x6
1x Listwa Goldpin 1x10
1x Listwa Goldpin 1x5
1x Zlacze Szufladkowe 1x8
1x Zlacze Szufladkowe 1x6
1x Zlacze Szufladkowe 1x10
52x Piny do złącz żeńskich typu BLS (na goldpiny)
4x uSwitch 12x12mm (lub inny przycisk N.O.)

4x 330R
2x 3,3k
15x 33k
4x 47k
4x 100k
1x 220k
4x 470k

1x 33pF
1x 47uF Elektrolit
1x 220uF Elektrolit
1x 4700uF/5V Elektrolit

1x Neonowka
4x Lampa Nixie LC513

1x Rezonator Kwarcowy 32768Hz
1x 1N4007
15x MPSA42
4x MPSA92
1x BC558
1x Stabilizator 7805
1x Mikrokontroler ATMEGA8 + Podstawka
1x Uklad RTC PCF8583
1x Buzzer z Generatorem 5V

Programowanie:


Mikrokontroler do sterownika został zaprogramowany w wersji demo programu BASCOM AVR firmy MCS Electronics (http://www.mcselec.com/). Program przedstawiony jest poniżej:

'************* Konfiguracja uC *****************
'***********************************************
$regfile = "m8def.dat"
$crystal = 8000000              'oscylator RC wewnetrzny
 
 
Config Portb = &B11111111 : Portb = &B00000001
Config Portc = &B00000000 : Portc = &B11111111
Config Portd = &B11111111 : Portd = &B00000000
 
 
Config Scl = Portc.5
Config Sda = Portc.4
I2cinit
 
Config Timer0 = Timer , Prescale = 64
Enable Timer0 : On Timer0 Prztimer0
 
Enable Interrupts
'********** Konfiguracja uC Koniec  ************
 
'******************  Zmienne *******************
'***********************************************
Dim Flaga4ms As Bit , Flaga05s As Bit
 
Dim Co4ms As Byte , Co05s As Byte
Dim Godziny As Byte , Minuty As Byte , Sekundy As Byte
Dim Godziny_budz As Byte , Minuty_budz As Byte
Dim Godziny_bcd As Byte , Minuty_bcd As Byte , Sekundy_bcd As Byte
 
Dim Mux As Byte
Dim Stan_katod As Byte
Dim Wartosc_wysw(2) As Byte
 
Dim Neonowka As Bit
Dim Licznik_sw As Byte , Stan_portu As Byte , Sw_port As Byte
Dim Poz_menu As Byte
 
Dim Zezwolenie_budz As Bit
Dim Autopowrot_ust(2) As Byte
Dim Temp As Byte
Dim Sygnal_budzenia As Byte
'*************  Zmienne Koniec  ****************
'************ Warunki Poczatkowe ***************
'***********************************************
Poz_menu = 0
Flaga05s = 1
Readeeprom Temp , 2                                 
If Temp = 100 Then Zezwolenie_budz = 1 Else Zezwolenie_budz = 0
Readeeprom Godziny_budz , 3
Readeeprom Minuty_budz , 4
If Godziny_budz > 23 Then Godziny_budz = 8
If Minuty_budz > 59 Then Minuty_budz = 0
'*******  Warunki Poczatkowe Koniec  ***********
'**************  Petla glowna ******************
'***********************************************
Do
  If Flaga4ms = 1 Then
    Flaga4ms = 0
    Gosub Wyswietl
    Gosub Przyciski
  End If
 
  If Flaga05s = 1 Then
    Flaga05s = 0
    Neonowka = Not Neonowka
    Select Case Poz_menu
      Case 0:                                               ' odczyt czasu z rtc
        I2cstart
        I2cwbyte 162                                        'adres zapisu
        I2cwbyte 2                                          'rejestr sekund
        I2cstart
        I2cwbyte 163                                        'adres odczytu
        I2crbyte Sekundy_bcd , Ack
        I2crbyte Minuty_bcd , Ack
        I2crbyte Godziny_bcd , Nack
        I2cstop
        Sekundy = Makedec(sekundy_bcd)
        Minuty = Makedec(minuty_bcd)
        Godziny = Makedec(godziny_bcd)
      Case 1:                                             
        Incr Autopowrot_ust(1)
        If Autopowrot_ust(1) = 8 Then
          Gosub Zapis_rtc
          Poz_menu = 0
        End If
      Case 2:                                              
        Incr Autopowrot_ust(2)
        If Autopowrot_ust(2) = 8 Then
          Writeeeprom Godziny_budz , 3
          Writeeeprom Minuty_budz , 4
          Poz_menu = 0
        End If
    End Select
 
    If Sygnal_budzenia > 0 Then
      Portb.0 = 0
      Decr Sygnal_budzenia
      If Sygnal_budzenia = 0 Then Portb.0 = 1
    End If
 
    Gosub Budzenie
 
  End If
Loop
End
'************ Petla glowna Koniec **************
'************ Przerwanie timer 0 ***************
'***********************************************
Prztimer0:
  'Incr Co4ms
  'If Co4ms >= 2 Then
      'Co4ms = 0
    Set Flaga4ms                         'dziala co 2 ms  mimo nazwy
    Incr Co05s
    If Co05s >= 250 Then
      Co05s = 0
      Set Flaga05s
    End If
  'End If
 
Return
'******** Przerwanie timer 0 Koniec ************
 
'***********************************************
Wyswietl:
  Incr Mux
  If Mux = 8 Then Mux = 0
  Select Case Poz_menu
    Case 0:                                                 'pokazuj czas
      Portb.1 = Neonowka
      Wartosc_wysw(1) = Godziny                             'wysw 1 i 2
      Wartosc_wysw(2) = Minuty                              'wysw 3 i 4
    Case 1:                                                 'ustawiaj czas
      Portb.1 = 1
      Wartosc_wysw(1) = Godziny                             'wysw 1 i 2
      Wartosc_wysw(2) = Minuty                              'wysw 3 i 4
    Case 2:                                                 'ustaw budzik
      Portb.1 = 0
      Wartosc_wysw(1) = Godziny_budz                        'wysw 1 i 2
      Wartosc_wysw(2) = Minuty_budz                         'wysw 3 i 4
  End Select
 
  Select Case Mux
    Case 0:
      Stan_katod = Wartosc_wysw(1) / 10
      If Stan_katod = 0 Then Stan_katod = 10        
      Gosub Ustaw_katody
      Set Portb.5
    Case 1:
      Reset Portb.5
    Case 2:
      Stan_katod = Wartosc_wysw(1) Mod 10
      Gosub Ustaw_katody
      Set Portb.4
    Case 3:
      Reset Portb.4
    Case 4:
      Stan_katod = Wartosc_wysw(2) / 10
      Gosub Ustaw_katody
      Set Portb.3
    Case 5:
      Reset Portb.3
    Case 6:
      Stan_katod = Wartosc_wysw(2) Mod 10
      Gosub Ustaw_katody
      Set Portb.2
    Case 7:
      Reset Portb.2
 
  End Select
Return
'***********************************************
Ustaw_katody:
  Select Case Stan_katod
    Case 0 :
      Portb.6 = 0 : Portb.7 = 0 : Portd = 128
    Case 1:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 64
    Case 2:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 32
    Case 3:
      Portb.6 = 0 : Portb.7 = 1 : Portd = 0
    Case 4:
      Portb.6 = 1 : Portb.7 = 0 : Portd = 0
    Case 5:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 16
    Case 6:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 8
    Case 7:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 4
    Case 8:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 2
    Case 9:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 1
    Case 10:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 0
  End Select
Return
'***********************************************
Przyciski:
  Sw_port = Pinc
  Sw_port = Sw_port Or &B11110000
  If Sw_port <> 255 And Stan_portu = Sw_port Then
    Incr Licznik_sw
    If Licznik_sw = 80 Then
      Licznik_sw = 0
      Select Case Stan_portu
        Case 247:                                           '-godziny
          Select Case Poz_menu
            Case 0:                                         'wylacz budzik
              Zezwolenie_budz = 0
              Temp = 0
              Writeeeprom Temp , 2
              Sygnal_budzenia = 2                           'sygnal na 0,5s
            Case 1:
              Decr Godziny
              If Godziny = 255 Then Godziny = 23
            Case 2:
              Decr Godziny_budz
              If Godziny_budz = 255 Then Godziny_budz = 23
          End Select
        Case 251:                                           '+godziny
          Select Case Poz_menu
            Case 0:                                         'wchodzi do ustawien czasu
              Poz_menu = 1
            Case 1:
              Incr Godziny
              If Godziny = 24 Then Godziny = 0
            Case 2:
              Incr Godziny_budz
              If Godziny_budz = 24 Then Godziny_budz = 0
          End Select
        Case 253:                                           '-minuty
          Select Case Poz_menu
            Case 0:                                         'wlacz budzik
              Zezwolenie_budz = 1
              Temp = 100
              Writeeeprom Temp , 2
              Sygnal_budzenia = 3                           'sygnal na 1s
            Case 1:
              Decr Minuty
              If Minuty = 255 Then Minuty = 59
            Case 2:
              Decr Minuty_budz
              If Minuty_budz = 255 Then Minuty_budz = 59
          End Select
        Case 254:                                           '+minuty
          Select Case Poz_menu
            Case 0:                                         'wchodzi do ustawien budzika
              Poz_menu = 2
            Case 1:
              Incr Minuty
              If Minuty = 60 Then Minuty = 0
            Case 2:
              Incr Minuty_budz
              If Minuty_budz = 60 Then Minuty_budz = 0
          End Select
       'Case 252:
      End Select
 
      Autopowrot_ust(1) = 0
      Autopowrot_ust(2) = 0
 
    End If
  Else
    Stan_portu = Sw_port
    Licznik_sw = 60
  End If
Return
'***********************************************
Zapis_rtc:
  Godziny_bcd = Makebcd(godziny)
  Minuty_bcd = Makebcd(minuty)
  Sekundy_bcd = 0
 
  I2cstart
  I2cwbyte 162
  I2cwbyte 0                                                'rejestr kontrolny
  I2cwbyte 8                                                'ustawienie rejestru zapisu daty
 
  I2cstop
  I2cstart
  I2cwbyte 162
  I2cwbyte 2
 
  I2cwbyte Sekundy_bcd
  I2cwbyte Minuty_bcd
  I2cwbyte Godziny_bcd
  I2cstop
Return
'***********************************************
Budzenie:
  If Zezwolenie_budz = 1 Then
    If Godziny = Godziny_budz And Minuty = Minuty_budz Then
      Portb.0 = Neonowka
    Else
      If Sygnal_budzenia = 0 Then Portb.0 = 1
    End If
  End If
Return
'***********************************************

Ustawienia fusebitów mikrokontrolera przedstawione są na rysunku poniżej(Zrzut z programu Burn-O-Mat):

Zdjęcia Projektu:

ZałącznikWielkość
Sterownik Schemat101.8 KB
Wyświetlacz Schemat41.45 KB
Sterownik Płytka17.31 KB
Sterownik Płytka (odbicie lustrzane)17.46 KB
Sterownik Płytka (3 na stronie)48.04 KB
Sterownik Montowanie23.51 KB
Wyświetlacz Płytka18.06 KB
Wyświetlacz Płytka (odbicie lustrzane)18.12 KB
Wyświetlacz Płytka (3 na stronie)49.29 KB
Wyświetlacz Montowanie22.59 KB
Kod Źródłowy9.28 KB
Program po kompilacji (BIN)2.21 KB
Program po kompilacji (HEX)6.23 KB
Obudowa (Projekt)47.84 KB
Obudowa (Maska do wiercenia otworów)24.47 KB



Portret użytkownika mirley

RE: RTC

Jeśli chodzi o spóźnianie to próbuj zmienić wartość C3 na mniejszą np 22pF. Za pomocą tego kondensatora można nieco dostroić taktowanie... ale jak to jest np 1s na godzinę... tak czy inaczej można pokombinować z tym kondensatorem.

Zmiana fusebitów w procesorze jest potrzeba bo trzeba zmienić na 8MHz internal, jednak nie wpłynie to na precyzję czasu bo czas liczony jest prze RTC. Jedynie częstotliwość odczytu czasu może być za wolna

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika boki

Witam wszystkich ponownie.

Witam wszystkich ponownie. Zegar prawie skończony . Mam mały dylemat jeśli chodzi o zasilanie zegara . Bo na schemacie z płytki układu zegara jest zlacze oznaczone nazwa "ZAS" , a na płytce z lampami jest HW ? Czyli co musze jeszcze zrobić ta przetwornice 9v/150 . I ja podłączyć do płytki z lampami . A co z ZAS ?? Proszę o wytłumaczenie bo nie rozumie jak podłączyć zasilanie . Dziękuję i pozdrawiam :)

Portret użytkownika mirley

Re: Nixie

Do zasilania lamp trzeba zrobić przetwornicę 9v->150V i jej wyjście podłączyć do Hw na płytce z lampami. Reszta układu i przetwornica zasilana jest z niskiego napięcia 9V podłączonego do złącza ZAS.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika boki

Dziękuję mirley za szybka

Dziękuję mirley za szybka odpowiedz. dopiero zaczynam swoje przygody z elektroniką stąd brak wiedzy . Teraz już wiem wszystko jak to podłaczyc:D. Jeszcze raz Dziękuję i pozdrawiam Ps: jak odpalę zegar podzielę się fotkami jak mi wyszło :D

Portret użytkownika boki

Wystarczy zasilacz output :

Wystarczy zasilacz output : 9.0V 1A aby zasilić cały zegar ??

Portret użytkownika boki

Zrobiłem przetwornice

Zrobiłem przetwornice podłączyłem ja pod zasilacz j.w.(Zasilacz mam z starego tabletu) i jest 150v sprawdzałem miernikiem. Podłączyłem całość i ledwo świeci neonówka . Lampy nie świecą. Wina zasilacza ??

Portret użytkownika gosc18

Zmiana na dekodery

Witam
Czy mógłbyś podpowiedzieć, jak powinien wyglądać program, gdybym zamiast lamp (segmentów i anod) chciał podłączyć wyświetlacz z dekoderami CD4543 (7-seg) i CD4028 (1 z 10)?
Chodzi o to, aby program zamiast wystawiać sygnał dla konkretnej anody i konkretnego segmentu wystawiał na ośmiu nogach sygnały dla dekoderów (2 razy po 4 sygnały). Nie wiem czy się jasno wyraziłem. Chodzi o to, aby zmniejszyć liczbę potrzebnych wyprowadzeń z procesora. Podejrzewam, że to kosmetyczna zmiana w programie, ale nie znam się na programowaniu.

Portret użytkownika mirley

Re: Nixie

Czyli chcesz podłaczyć sobie zwykłe wyświetlacze zamiast nixie....

generalnie trzeba by to trochę uprościć:

If Mux = 4 Then Mux = 0

  Select Case Mux
    Case 0:
      Stan_katod = Wartosc_wysw(1) / 10
      If Stan_katod = 0 Then Stan_katod = 10        
    Case 1:
      Stan_katod = Wartosc_wysw(1) Mod 10
    Case 2:
      Stan_katod = Wartosc_wysw(2) / 10
    Case 3:
      Stan_katod = Wartosc_wysw(2) Mod 10
 
    Shift Stan_katod , Left , 4
    portd=Stan_katod + Mux
 
  End Select

stan segmentów na 4 najstarszych bitach, wartości 0-10, gdzie 10 powinno być puste aby zrobić pusty znak
kolumny na 4 młodszych bitach

Procedure Ustaw_Katody można wywalić

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika gosc18

Witam Dzięki za kod.

Witam
Dzięki za kod. Programistą nie jestem, ale z tego co widzę, to po prostu na porcie D mam całą informację: na czterech młodszych bitach mam kolumny i na czterech starszych wartość.
A teraz gdybym miał wyświetlacz siedmiosegmentowy x8 i chciałbym wyświetlać HH przerwa MM przerwa SS?
I gdybym chciał, aby na porcie D na młodszych bitach była cyfra, a na porcie B na młodszych bitach kolumna?
Trochę głowę zawracam, ale chciałbym wiedzieć, jakby miał wyglądać taki kod :)

Pozdrawiam

Portret użytkownika gosc1995

LC 531

Witam!

Czy jest możliwość podłączenia zamiast lamp LC 513 lampy LC 531? Lampy LC 531 są na wyższe napięcie więc trzeba byłoby ustawić większe na przetwornicy, oraz przerobić płytkę, czy nic więcej nie trzeba zmieniać ?

Portret użytkownika pawel111111

LC 531

Witam!

Czy jest możliwość zmiany lamp z LC513 na LC531? LC531 pracują na innym napięciu więc regulacja przetwornicy, oraz przeróbka schematu. Czy to wystarczyłoby do popranej pracy ?

Portret użytkownika mirley

Re: Nixie

Nie powinno być żadnych problemów, jeśli tylko przetwornica da radę

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Grzegorz

Replika zegara

Szanowny Panie Mirosławie, pana konstrukcja przypadła mi do gustu do tego stopnia, że pokusiłem się o wykonanie repliki. Nie wyszło aż tak dobrze jak u Pana ale cieszy oko. Krótka prezentacja ww. zegara w moim wykonaniu: https://goo.gl/CyBtC9

Portret użytkownika Grzegorz

Replika zegara

Szanowny Panie Mirosławie, pana konstrukcja przypadła mi do gustu do tego stopnia, że pokusiłem się o wykonanie repliki. Nie wyszło aż tak dobrze jak u Pana ale cieszy oko. Krótka prezentacja ww. zegara w moim wykonaniu: https://www.youtube.com/watch?v=u--i9NOwNyc

Portret użytkownika arek1996

cyfry, przetwornica

Witaj Mirku.

Miałbym takie pytanie.
Dałoby radę dodać do programu efekt przemiatania cyfr? Tak jak tutaj https://www.youtube.com/watch?v=y-FVvLGPFno#t=3m15s Miałoby to zapobiec psuciu się lamp.

Złożyłem Twój zegar z 4 lata temu. Ale miałem duchy i nie poradziłem sobie z nimi. Może lampy miałem złe. Teraz kupiłem Z566M i chciałbym spróbować ponownie.

Drugie pytanie mam w sprawie przetwornicy. Zrobiłem taką jak masz Ty. Działała. Odpaliłem ją po 3 latach przerwy i nie działa. Jak włączę to jest 20-40V nie pamiętam już i nie da się regulować. Po ponownym włączeniu nieraz zadziała, ale rzadko. Co moze być nie tak?

Proszę o odpowiedź.

Portret użytkownika arek1996

Duchy

Problem z przetwornicą rozwiązany. Miałem za słaby dławik.

Mam złożony cały zegar na Z5600M. Ale dalej są duchy jak 3 lata temu. Kiedy pierwsza lampa od lewej strony jest zgaszona, to świecą się kawałki cyfry z sąsiedniej lampy. Co może być nie tak? Pomożesz?

Portret użytkownika mirley

Re

Hm....

Zrobiłem juz nowszy zegar nixie.... na dwóch lampach, wyświetla raz godziny raz minuty.... opis będzie pewnie za kilka miesięcy bo teraz opisuje dopiero po wyprodukowaniu pcb.... tak czy inaczej nie o tym chcialem....

Trzeba dołożyc pare elementów do sterowania lamp, z tego co pamiętam

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika arek1996

Duchy

Dzięki za odpowiedź. Dokładałem te elementy już na początku. Nic nie dały. Zobacz ten filmik. https://www.youtube.com/watch?v=K0EqZI-h79A Ktoś wykonał Twój zegar i ma takie same duchy jak moje. U mnie są trochę mniejsze. Czytałem, że to problem oprogramowania, ale tego sam nie poprawię.

Portret użytkownika mirley

Nixie

W programie dałem specjalnie dwa razy więcej czasu na wygaszenie ele to i tak malo daje, u mnie dodanie dodatkowych diod załatwiło sprawę... Na katodach diod musi byc potencjał rzędu 75V-100V u mnie przy takich wartościach działało dobrze. Sprawia to że po odłączeniu lampy przez uC wyprowadzenie nie wisi w powietrzu jarząc długo cyfrę ale od razu się rozładowywuje do wysokiego potencjału i gaśnie. Różnica napięc między zasilaniem HV a polaryzacją katod o której pisze musi byc dużo poniżej zapłonu cyfry aby to działało. Można modyfikowac dzielnik R7, R14 aby sprawdzic jaka wartośc napięcia jest ok

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika arek1996

Ok. Dzięki. Jak wrócę do domu

Ok. Dzięki. Jak wrócę do domu to spróbuję coś zrobić.

Portret użytkownika Rossa

Dziwna sprawa.

Panowie, pomocy. Zbudowałem zegar, do zaprogramowania użyłem USBasp-a fusy okej, lampy dobre.
Mam jednak taki problem. Cały czas wyświetlają mi się zera. A czas liczy mi w dziwny sposób, tj 0:00 -> 0:11 -> 0:22, et cetera. Jakieś sugestie, gdzie szukać błędu?

Portret użytkownika Rossa

Jeszcze jedno, proszę

Jeszcze jedno, proszę wybaczyć, że post pod postem. Gdy podziała sobie trochę dłużej, kilka cyfr świeci na raz w minutach (jednocześnie np 00, 22, 77). Neonówka jednak miga poprawnie.

Portret użytkownika mirley

Nixie

Wyjmij procka z podstawki, i dwoma kabelkami ręcznie włącz każdą z lamp, wszystkie cyfry. Jeśli nie ma zwarć zawsze będziesz miał tylko jedną z cyfr na jednej z lamp... Zwarcie do +5V włączy tranzystor

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika linkiln_bis

PCB

Witam,

Świetny projekt gratulacje. Moje pytanko w jakim sofcie projektujesz PCB(schemat) próbuje odnaleźć biblioteki dla nixie i jak narazie marny skutek. Chciałbym dokonać kilku modyfikacji na płycie wyświetlacza tj. podświetlenie lamp diodą oraz przerzucić lampy na drugą stronę płytki. Będę wdzięczny za wszelkie wskazówki.

Pozdrawiam

Portret użytkownika mirley

Re: Nixie

Ta płytka została narysowana w demówce Protela 99, obecnie już go nie używam - wymagał posiadania windowsa a tego syfu już nie używam. Teraz wszystko projektuje w kicadzie.

Tak czy inaczej biblioteki zawsze rysuje sam, w protelu miałem swoje i teraz w kicadzie też.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Jankow

programowanie przez AVR

Witam
Jak programowany był mikrokontroler?
jeśli inaczej niż przez programator AVR, to czy trzeba wprowadzić jakieś istotne zmiany, czy wystarczy po prostu podłączyć wszystko odpowiednio i zaprogramować przez BASCOMa?

Portret użytkownika ciastek

sterowanie anodami

Witam, mam takie szybkie pytanko. A mianowicie, aby na lampkach się nic nie wyświetlało na tranzystor mpsa42 musi być podany stan wysoki(?) aby tranzystor przewodził tak?
pozdrawiam

Portret użytkownika Cb27

Czy może zostać tutaj użyty

Czy może zostać tutaj użyty uC atmega 8A ???

Portret użytkownika Aras885

podłączenie

witam ,
czyli do wyświtlacza, sterownika trzeba jeszcze wykonać przetwornice 9v/150v. moje pytanie jest takie, przetwornice zasilam 9v, złącza HV łącze z wyświetlaczem a co z zasilaniem sterownika? na płytce jest złącze ARK i co z nim?

Portret użytkownika mirley

Re: Nixie

Nie ma znaczenia jak został zaprogramowany uC... wgranie gotowego hex i ustawienie fusów zawsze da ten sam efekt

-----------------

Aby na lampach nic sie nie świeciło trzeba wyłączyć wszystkie anody, czyli na tranzystory mpsa42 nalezy podac stan niski

-----------

tak trzeba dac m8A - innego obecnie nie produkują

----------

Sterownik zasila sie tymi samymi 9V/12V co przetwornica... tutaj jest jej lepsza wersja http://mirley.net/przetwornica_podwyzszajaca_do_lamp_nixie.html

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Wlodek

Nixie -Neonowka miganie

Witam Pana,

Wykonalem zegar w oparciu o Panskie materialy - dziala swietnie - odmierza czas dokladnie,
Mam jednak jeden maly problem dotyczacy punktu odzielajacego godziny od minut - miga zbyt szybko = okolo dwa razy czesciej i krocej niz powinien,
Co zrobilem zle - wsad zaladowany z Panskiej strony za pomoca STK500,
Z powazaniem
Wlodek

Portret użytkownika mirley

Nixie

Czyli z jaką czestotliwością miga? Powinien 1 raz na sekundę mrugnąć

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Wlodek

Neonowka miganie

Witam,
Dziekuje za info,faktycznie mruga co sekunde,zasugerowalem sie zegarem z oslej laczki(na 7 segmentowym wyswietlaczu) ktory mruga 1 sekunda on i 1 sekunda off stojacym obok - a Panski projekt miga 0.5s/0.5s,
Pozdrawiam
Wlodek