Zegar Nixie


8.57143
Ocena: None Średnia: 8.6 (7 głosujących)

Urządzenie to jak każdy inny zagar służy do odmierzania czasu, jednak jego niezwykłość przejawia się w zastosowanym wyświetlaczu, którym są cztery lampy Nixie typu LC513. Odmierzaniem czasu zajmuje się układ zegara czasu rzeczywistego PCF8583 a całość sterowana jest za pomocą mikrokontrolera ATMega8. W celu poprawnego odliczania czasu podczas zaniku napięcia zasilającego zastosowano kondensator elektrolityczny o dużej pojemności. Lampy zasilane za pomocą Przetwornicy 9V/150V dzięki czemu nie jest konieczne stosowanie dwóch transformatorów. Zegar taki po wyposażeniu w drewnianą obudowę będzie znakomitą i nietypową ozdobą w każdym domu.



Działanie:


Schemat ideowy sterownika przedstawiony jest na rysunku poniżej:

Sercem układu jest mikrokontroler U1 (ATMega8). Pracuje on na wewnętrznym oscylatorze RC o częstotliwości 8MHz przez co dwa wolne wyprowadzenia zostały wykorzystane do sterowania katod wyświetlacza. Odmierzaniem czasu zajmuje się układ U2 (PCF8583) pracujący z rezonatorem kwarcowym X1 (32,768kHz) i kondensatorem/trymerem C3 (33pF). Jest to popularny i często stosowany układ RTC (real-time clock), zegar czasu rzeczywistego komunikujący się z mikrokontrolerem za pomocą magistrali I2C. Dioda D1 (1N4007) i kondensator C4 (4700uF/5V) stanowią prosty obwód podtrzymania na kilka godzin zasilania dla układu U2 w przypadku zaniku napięcia sieci. Rezystory R17 (3,3k) i R18 (3,3k) podciągają wyprowadzenia mikrokontrolera, stanowiące magistralę I2C, do plusa zasilania. Złącze SW (Goldpin) służy do podłączenia czterech przycisków sterujących pracą zegara. Tranzystor T11 (BC558) wraz z rezystorami R15 (3,3k) i R16 (3,3k) stanowią obwód sterujący brzęczykiem B1, który pełni rolę sygnału budzenia. Złącza GpA (Goldpin) i GpK (Goldpin) zapewniają połączenie między płytką sterownika i płytką wyświetlacza. Złącze Prog oraz rezystory R11 - R14 (330R) tworzą interfejs umożliwiający programowanie mikrokontrolera U1. Do zasilania płytki sterownika służy prosty zasilacz zbudowany w oparciu o stabilizator U3 (7805) i kondensatory C1 (220uF) i C2 (47uF). Tranzystory wysokonapięciowe T1 - T2 (MPSA42) wraz z rezystorami R1 - R10 (33k) sterują pracą katod lamp wyświetlacza.

Na rysunku poniżej przedstawiony jest schemat ideowy wyświetlacza:

Lampy L1 - L4 (LC513) podłączone są tak aby umożliwić ich multipleksowanie. Tranzystory T1 (MPSA92) i T2 (MPSA42) wraz z rezystorami R1 (33k), R2 (470k) i R3 (100k) są odpowiedzialne za dołączanie anody lampy L1 do wysokiego napięcia podczas multipleksowania. Prąd płynący przez L1 ograniczony jest za pomocą rezystora R13 (47k). Pozostałe lampy zasilane są w identyczny sposób za pomocą tranzystorów T3 - T8 i rezystorów R4 - R12. Neonówka stanowiąca punkt oddzielający minuty od godzin jest sterowana tranzystorem T9 (MPSA42). Rezystor R18 (220k) ogranicza jej prąd. Złacza GpA i GpK służą do podłączenia płytek zegara z sobą natomiast złącze HV jest punktem podłączenia przetwornicy podwyższającej zapewniającej wysokie napięcie dla lamp wyświetlacza.

Budowa:


Sterownik zegara można zbudować na płytce drukowanej dostępnej tutaj. Rysunek w odbiciu lustrzanym znajduje się tutaj. Montaż należy rozpocząć od wlutowania dwóch zworek a następnie należy zamontować wszystkie rezystory. Pod mikrokontroler U1 oraz układ RTC U2 dobrze jest wlutować podstawkę. Buzzer B1 należy umieścić po stronie druku, a następnie w tylnej ściance obudowy wykonać niewielki otwór (otwory). Zwiększy to natężenie dźwieku słyszanego z wnętrza obudowy. Zamiast kondensatora C3 dobrze jest zastosować dobrej jakości trymer 4-40pF wlutowany od strony druku. Kondensator Elektrolityczny C4 stanowiący źródło zasilania awaryjnego należy zamontować w pozycji leżącej. Stabilizator U3 po wlutowaniu w pozycji leżącej będzie wystawał poza płytkę. Żeby temu zaradzić można zastosować 78L05 w małej obudowie. Złącza GpA i GpK powinny być złączami szufladkowymi w które zostaną wpięte goldpiny na płytce wyświetlacza. Uwaga!! Na płytce brakuje kondensatora 100nF MKT, należy go wlutować od spodu płytki między nóżkami 4 i 8 układu U2. Pomocą przy konstrukcji może okazać się schemat montażowy dostępny tutaj.

Wyświetlacz można zbudować w oparciu o płytkę drukowaną dostępną tutaj. Rysunek w odbiciu lustrzanym znajduje się tutaj. Lutowanie rozpoczynamy od zamontowania wszystkich zworek a następnie wszystkie małe elementy. Na samym końcu zabieramy się do montażu lamp i neonówki. Pod lampy zamiast podstawek najlepiej jest wykorzystać piny do złącz żeńskich typu BLS (wtyki na goldpiny montowane na kabel, takie jak tutaj) lutując w miejsce kabla pojedyńczy goldpin a następnie całość w płytkę. Na wystający pin można nałożyć kawałek koszulki termokurczliwej jak to widać na fotografiach na dole strony. Pomocą przy konstrukcji może okazać się schemat montażowy dostępny tutaj.

Obudowę do zegara najlepiej zrobić z drewna dębowego. Projekt dostępny jest tutaj. Do wykonania tej konstrukcji potrzebne jest około 70cm listwy o grubośći 8mm i szerokości 8cm. Należy zwrócić uwagę na to aby wspomniana listwa była dobrze wyheblowana i miała jednakową szerokość na całej długości (nie musi być dokładnie 8cm). Ułatwi to klejenie całości i wyeliminuje możliwość powstania szpar. Płytki w obudowie można zamocować za pomocą kleju termotopliwego. Moja obudowa "prototyp" widoczna na zdjęciach nie jest wykonana dokładnie według opisywanego projektu, gdyż on powstał na jej podstawie. Projekt posiada kilka drobnych poprawek :).

Podłączenie klawiatury:


PinC.0 +Minuty (wejdź do nastaw budzika)
PinC.1 -Minuty (włącz budzik)
PinC.2 +Godziny (wejdź do nastaw czasu)
PinC.3 -Godziny (wyłącz budzik)

Wykaz Elementów:


1x Zlacze Srubowe ARK2(podwójne)
1x Listwa Goldpin 1x2
1x Listwa Goldpin 1x6
1x Listwa Goldpin 1x10
1x Listwa Goldpin 1x5
1x Zlacze Szufladkowe 1x8
1x Zlacze Szufladkowe 1x6
1x Zlacze Szufladkowe 1x10
52x Piny do złącz żeńskich typu BLS (na goldpiny)
4x uSwitch 12x12mm (lub inny przycisk N.O.)

4x 330R
2x 3,3k
15x 33k
4x 47k
4x 100k
1x 220k
4x 470k

1x 33pF
1x 47uF Elektrolit
1x 220uF Elektrolit
1x 4700uF/5V Elektrolit

1x Neonowka
4x Lampa Nixie LC513

1x Rezonator Kwarcowy 32768Hz
1x 1N4007
15x MPSA42
4x MPSA92
1x BC558
1x Stabilizator 7805
1x Mikrokontroler ATMEGA8 + Podstawka
1x Uklad RTC PCF8583
1x Buzzer z Generatorem 5V

Programowanie:


Mikrokontroler do sterownika został zaprogramowany w wersji demo programu BASCOM AVR firmy MCS Electronics (http://www.mcselec.com/). Program przedstawiony jest poniżej:

'************* Konfiguracja uC *****************
'***********************************************
$regfile = "m8def.dat"
$crystal = 8000000              'oscylator RC wewnetrzny
 
 
Config Portb = &B11111111 : Portb = &B00000001
Config Portc = &B00000000 : Portc = &B11111111
Config Portd = &B11111111 : Portd = &B00000000
 
 
Config Scl = Portc.5
Config Sda = Portc.4
I2cinit
 
Config Timer0 = Timer , Prescale = 64
Enable Timer0 : On Timer0 Prztimer0
 
Enable Interrupts
'********** Konfiguracja uC Koniec  ************
 
'******************  Zmienne *******************
'***********************************************
Dim Flaga4ms As Bit , Flaga05s As Bit
 
Dim Co4ms As Byte , Co05s As Byte
Dim Godziny As Byte , Minuty As Byte , Sekundy As Byte
Dim Godziny_budz As Byte , Minuty_budz As Byte
Dim Godziny_bcd As Byte , Minuty_bcd As Byte , Sekundy_bcd As Byte
 
Dim Mux As Byte
Dim Stan_katod As Byte
Dim Wartosc_wysw(2) As Byte
 
Dim Neonowka As Bit
Dim Licznik_sw As Byte , Stan_portu As Byte , Sw_port As Byte
Dim Poz_menu As Byte
 
Dim Zezwolenie_budz As Bit
Dim Autopowrot_ust(2) As Byte
Dim Temp As Byte
Dim Sygnal_budzenia As Byte
'*************  Zmienne Koniec  ****************
'************ Warunki Poczatkowe ***************
'***********************************************
Poz_menu = 0
Flaga05s = 1
Readeeprom Temp , 2                                 
If Temp = 100 Then Zezwolenie_budz = 1 Else Zezwolenie_budz = 0
Readeeprom Godziny_budz , 3
Readeeprom Minuty_budz , 4
If Godziny_budz > 23 Then Godziny_budz = 8
If Minuty_budz > 59 Then Minuty_budz = 0
'*******  Warunki Poczatkowe Koniec  ***********
'**************  Petla glowna ******************
'***********************************************
Do
  If Flaga4ms = 1 Then
    Flaga4ms = 0
    Gosub Wyswietl
    Gosub Przyciski
  End If
 
  If Flaga05s = 1 Then
    Flaga05s = 0
    Neonowka = Not Neonowka
    Select Case Poz_menu
      Case 0:                                               ' odczyt czasu z rtc
        I2cstart
        I2cwbyte 162                                        'adres zapisu
        I2cwbyte 2                                          'rejestr sekund
        I2cstart
        I2cwbyte 163                                        'adres odczytu
        I2crbyte Sekundy_bcd , Ack
        I2crbyte Minuty_bcd , Ack
        I2crbyte Godziny_bcd , Nack
        I2cstop
        Sekundy = Makedec(sekundy_bcd)
        Minuty = Makedec(minuty_bcd)
        Godziny = Makedec(godziny_bcd)
      Case 1:                                             
        Incr Autopowrot_ust(1)
        If Autopowrot_ust(1) = 8 Then
          Gosub Zapis_rtc
          Poz_menu = 0
        End If
      Case 2:                                              
        Incr Autopowrot_ust(2)
        If Autopowrot_ust(2) = 8 Then
          Writeeeprom Godziny_budz , 3
          Writeeeprom Minuty_budz , 4
          Poz_menu = 0
        End If
    End Select
 
    If Sygnal_budzenia > 0 Then
      Portb.0 = 0
      Decr Sygnal_budzenia
      If Sygnal_budzenia = 0 Then Portb.0 = 1
    End If
 
    Gosub Budzenie
 
  End If
Loop
End
'************ Petla glowna Koniec **************
'************ Przerwanie timer 0 ***************
'***********************************************
Prztimer0:
  'Incr Co4ms
  'If Co4ms >= 2 Then
      'Co4ms = 0
    Set Flaga4ms                         'dziala co 2 ms  mimo nazwy
    Incr Co05s
    If Co05s >= 250 Then
      Co05s = 0
      Set Flaga05s
    End If
  'End If
 
Return
'******** Przerwanie timer 0 Koniec ************
 
'***********************************************
Wyswietl:
  Incr Mux
  If Mux = 8 Then Mux = 0
  Select Case Poz_menu
    Case 0:                                                 'pokazuj czas
      Portb.1 = Neonowka
      Wartosc_wysw(1) = Godziny                             'wysw 1 i 2
      Wartosc_wysw(2) = Minuty                              'wysw 3 i 4
    Case 1:                                                 'ustawiaj czas
      Portb.1 = 1
      Wartosc_wysw(1) = Godziny                             'wysw 1 i 2
      Wartosc_wysw(2) = Minuty                              'wysw 3 i 4
    Case 2:                                                 'ustaw budzik
      Portb.1 = 0
      Wartosc_wysw(1) = Godziny_budz                        'wysw 1 i 2
      Wartosc_wysw(2) = Minuty_budz                         'wysw 3 i 4
  End Select
 
  Select Case Mux
    Case 0:
      Stan_katod = Wartosc_wysw(1) / 10
      If Stan_katod = 0 Then Stan_katod = 10        
      Gosub Ustaw_katody
      Set Portb.5
    Case 1:
      Reset Portb.5
    Case 2:
      Stan_katod = Wartosc_wysw(1) Mod 10
      Gosub Ustaw_katody
      Set Portb.4
    Case 3:
      Reset Portb.4
    Case 4:
      Stan_katod = Wartosc_wysw(2) / 10
      Gosub Ustaw_katody
      Set Portb.3
    Case 5:
      Reset Portb.3
    Case 6:
      Stan_katod = Wartosc_wysw(2) Mod 10
      Gosub Ustaw_katody
      Set Portb.2
    Case 7:
      Reset Portb.2
 
  End Select
Return
'***********************************************
Ustaw_katody:
  Select Case Stan_katod
    Case 0 :
      Portb.6 = 0 : Portb.7 = 0 : Portd = 128
    Case 1:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 64
    Case 2:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 32
    Case 3:
      Portb.6 = 0 : Portb.7 = 1 : Portd = 0
    Case 4:
      Portb.6 = 1 : Portb.7 = 0 : Portd = 0
    Case 5:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 16
    Case 6:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 8
    Case 7:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 4
    Case 8:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 2
    Case 9:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 1
    Case 10:
      Portb.6 = 0 : Portb.7 = 0 : Portd = 0
  End Select
Return
'***********************************************
Przyciski:
  Sw_port = Pinc
  Sw_port = Sw_port Or &B11110000
  If Sw_port <> 255 And Stan_portu = Sw_port Then
    Incr Licznik_sw
    If Licznik_sw = 80 Then
      Licznik_sw = 0
      Select Case Stan_portu
        Case 247:                                           '-godziny
          Select Case Poz_menu
            Case 0:                                         'wylacz budzik
              Zezwolenie_budz = 0
              Temp = 0
              Writeeeprom Temp , 2
              Sygnal_budzenia = 2                           'sygnal na 0,5s
            Case 1:
              Decr Godziny
              If Godziny = 255 Then Godziny = 23
            Case 2:
              Decr Godziny_budz
              If Godziny_budz = 255 Then Godziny_budz = 23
          End Select
        Case 251:                                           '+godziny
          Select Case Poz_menu
            Case 0:                                         'wchodzi do ustawien czasu
              Poz_menu = 1
            Case 1:
              Incr Godziny
              If Godziny = 24 Then Godziny = 0
            Case 2:
              Incr Godziny_budz
              If Godziny_budz = 24 Then Godziny_budz = 0
          End Select
        Case 253:                                           '-minuty
          Select Case Poz_menu
            Case 0:                                         'wlacz budzik
              Zezwolenie_budz = 1
              Temp = 100
              Writeeeprom Temp , 2
              Sygnal_budzenia = 3                           'sygnal na 1s
            Case 1:
              Decr Minuty
              If Minuty = 255 Then Minuty = 59
            Case 2:
              Decr Minuty_budz
              If Minuty_budz = 255 Then Minuty_budz = 59
          End Select
        Case 254:                                           '+minuty
          Select Case Poz_menu
            Case 0:                                         'wchodzi do ustawien budzika
              Poz_menu = 2
            Case 1:
              Incr Minuty
              If Minuty = 60 Then Minuty = 0
            Case 2:
              Incr Minuty_budz
              If Minuty_budz = 60 Then Minuty_budz = 0
          End Select
       'Case 252:
      End Select
 
      Autopowrot_ust(1) = 0
      Autopowrot_ust(2) = 0
 
    End If
  Else
    Stan_portu = Sw_port
    Licznik_sw = 60
  End If
Return
'***********************************************
Zapis_rtc:
  Godziny_bcd = Makebcd(godziny)
  Minuty_bcd = Makebcd(minuty)
  Sekundy_bcd = 0
 
  I2cstart
  I2cwbyte 162
  I2cwbyte 0                                                'rejestr kontrolny
  I2cwbyte 8                                                'ustawienie rejestru zapisu daty
 
  I2cstop
  I2cstart
  I2cwbyte 162
  I2cwbyte 2
 
  I2cwbyte Sekundy_bcd
  I2cwbyte Minuty_bcd
  I2cwbyte Godziny_bcd
  I2cstop
Return
'***********************************************
Budzenie:
  If Zezwolenie_budz = 1 Then
    If Godziny = Godziny_budz And Minuty = Minuty_budz Then
      Portb.0 = Neonowka
    Else
      If Sygnal_budzenia = 0 Then Portb.0 = 1
    End If
  End If
Return
'***********************************************

Ustawienia fusebitów mikrokontrolera przedstawione są na rysunku poniżej(Zrzut z programu Burn-O-Mat):

Zdjęcia Projektu:

ZałącznikWielkość
Sterownik Schemat101.8 KB
Wyświetlacz Schemat41.45 KB
Sterownik Płytka17.31 KB
Sterownik Płytka (odbicie lustrzane)17.46 KB
Sterownik Płytka (3 na stronie)48.04 KB
Sterownik Montowanie23.51 KB
Wyświetlacz Płytka18.06 KB
Wyświetlacz Płytka (odbicie lustrzane)18.12 KB
Wyświetlacz Płytka (3 na stronie)49.29 KB
Wyświetlacz Montowanie22.59 KB
Kod Źródłowy9.28 KB
Program po kompilacji (BIN)2.21 KB
Program po kompilacji (HEX)6.23 KB
Obudowa (Projekt)47.84 KB
Obudowa (Maska do wiercenia otworów)24.47 KB



Portret użytkownika mirley

Re: Nixie Rozbudowa

Pisałem już wcześniej że lepiej zaprojektować nowy zegar na 6 lamp. Mam juz taki w planie ale będzie zrealizowany w odpowiedniej kolejce.

Ps. Proszę pamiętać że jestem sam a zajęcia mam prawie całymi dniami. Odnosnie zaprogramowania ATMega8 proszę pisac na maila a nie tu. A tak wogóle to aby zrobić najprostszy programator to potrzeba kabel i 10min czasu :) Zobacz w "Artykułach Różnych" na stronie :)

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika neo

nixie

a czy niemozna zasilic te lampy bezposrednio z sieci 230v przez rezystor ? (dzielnik)
mam lampe z573m i dziala bezproblemu z sieci

Portret użytkownika mirley

RE: Nixie

Jest to niedozwolone, nie ma izolacji od sieci i w każdej chwili może się to skończyć tragicznie. Poza tym w całym układzie będzie napięcie sieci (faza lub zero) w zależności od kierunku włożenia wtyczki do gniazdka. Przetwornica wyciąga mały prąd więc w razie dotknięcia trochę cię tylko "kopnie" :)

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Makavelli

Sterownanie zegarem

Witam

Chciałbym zapytać jaki przycisk jest za co odpowiedzialny. Za co PC0 i Gnd, PC1 i Gnd, PC2 i Gnd, PC3 i Gnd.

pozdrawiam

Portret użytkownika mirley

Re: Nixie

Pin C.0 to +minuty(ustawienia budzika)
pin C.1 to -minuty(włącz budzik)
pin C.2 to +godziny(ustawienia czasu)
pin C.3 to -godziny(wyłącz budzik)

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika serv_

Zasilanie

Zabrałem się za budowę tego zegara i cóż... Tym razem muszę ostro skrytykować zalecane przez autora zasilanie lamp... Przecież to zasilanie jest kompletnie bez sensu! Zbędne obciążanie obwodu LV...

Najprostszym i najlepszym rozwiązaniem będzie tu wysokonapięciowy stabilizator napięcia zgodny z poniższym schematem

[img=http://img38.imageshack.us/img38/1628/stab.th.jpg]

Układ pobiera zdecydowanie mniej prądu, nie obciąża układu niskiego napięcia, jest praktyczniejszy, nie wymaga transformatora ani innych zbędnych pierdół...

Pozdrawiam!

-

-Mamo, zrób mi kanapkę.
-Sam sobie zrób.
-SUDO Mamo, zrób mi kanapkę.
-OK.

Portret użytkownika mirley

Re: Zegar Nixie

No oczywiście możesz skrytykować.... jednak poprostu wolę dać te 5zł i zrobić przetwornicę, szczególnie że niskie napięcie tak mi jest potrzebne dla reszty obwodu, niż potem mieć niezapomniane wrażenia po dotknięciu płytki na której bedzie wyprostowane napięcie sieci. To rozwiązanie które zaproponowałem widziałem w wielu projektach w internecie natomiast tego "prostszego" układu niestety nigdzie :)

Przetwornica jest o wiele bezpieczniejsza i przede wszystkim działa niezawodnie już dłuższy czas :)

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika serv_

RE: Zasilanie

Bezpieczeństwo bezpieczeństwem, w telewizorach czy monitorach są wyższe napięcia i jakoś nikt nie narzeka, a obwód niskiego napięcia ni byłby obciążony tymi potężnymi 100mA - a trzeba przyznać że nie jest to mały prąd.

-

-Mamo, zrób mi kanapkę.
-Sam sobie zrób.
-SUDO Mamo, zrób mi kanapkę.
-OK.

Portret użytkownika mirley

Re: Zegar Nixie

Ja tylko przedstawiam propozycję... Zrobisz jak zechcesz :)

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika darko

Witam, Jaką dokładność ma

Witam,
Jaką dokładność ma zegar wykonany według tego projektu?

Portret użytkownika mirley

Re: Zegar

Jak na moje potrzeby to bardzo dobrą bo jakieś 2-3 sekundy na tydzień, można oczywiście lepiej ale zależy to od stabilność naszego kwarca i kondensatora C3, którym można troszkę to regulować. Zauważyłem że stabilność znacznie się poprawiła gdy zegar pracował już 2 miesiące (wcześniej potrafił mylić się minutę na tydzień, zanim dostroiłem trochę pojemnością C3). Obecnie ni zauważam różnicy między zegarem w komputerze a tym lampowym, no chyba że dwa mylą się w tą samą stronę.

Myślę że sprawa poprawy stabilności z czasem wynika z wygrzania kwarca, ponieważ w obudowie mam stabilizator i trafo, które troszkę się nagrzewają i zegar jest ciepły.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika darko

Czyli projekt, który jest na

Czyli projekt, który jest na stronie jest najbardziej aktualny jeśli chodzi o te zmiany?
Dziękuję za informacje.

Portret użytkownika mirley

Re: Zegar

Przeczytaj dokładnie opis, a jeśli chodzi o kondensator 33pF to musisz go dobrać tak aby błąd był najmniejszy. Możesz w jego miejsce dać trymer z regulacją 20-50pF i dostroić sobie zegar tak aby się nie późnił ani nie śpieszył. No chyba że masz bardzo dokładny miernik częstotliwości to zmierzysz i ustawisz dokładnie 32768Hz

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika andrzej

rezystor anody

witam, w jaki sposób jest obliczona wartość rezystora na anodzie lampy? Pytam ponieważ zakupiłem lampy nixie o innych parametrach i nie bardzo wiem jak wyliczyć rezystor. Pozdrawiam

Portret użytkownika mirley

Re: Zegar Nixie

Ja to zrobiłem w taki sposób że wstawiłem rezystor o takiej wartości jaka wynika z podzielenia napięcia znamionowego przez typowy prąd lampy. W moim przypadku 145V/3mA. Potem dobrałem sobie prąd za pomocą regulacji napięcia w przetwornicy tak aby przez rezystor płynęło tyle co powinno

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika gosc

pytanie o zegar nixi

Witam!
Wykonałem sobie taki zegar na lampach z570m i mam pytanie, bo np o nie swieci sie 0 na pierwszej lampie, czy tak ma byc? zamiast 07 : 00 jest 7 : 00
prosze o odpowiedz bo nie wiem czy cos poknocilem przy skladaniu czy tak ma byc

Portret użytkownika mirley

Re: Zegar Nixie

Oczywiście że tak ma być... to jest wygaszanie zera wiodącego, ponieważ lepiej wygląda bez niego. Załatwia to fragment programu:

....
    Case 0:
      Stan_katod = Wartosc_wysw(1) / 10
      If Stan_katod = 0 Then Stan_katod = 10  'ta linijka wygasza zero na pierwszym wyświetlaczu       
      Gosub Ustaw_katody
.....

Zakomentowanie linijki:

 If Stan_katod = 0 Then Stan_katod = 10

Powinno dać normalne wyświetlanie godziny.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika gosc

zegar nixie

Witam!
Uruchomiłem zegar Pana projektu. Mam tylko problem z nierównomiernym mruganiem neonówki. Czasami nie mruga nawet kilka sekund, czasami mruga za krótko. zegar po kilku dniach pracy odmierza czas prawidłowo.

Portret użytkownika mirley

Re: Neonówka

Spróbuj zmniejszyć trochę wartość rezystora szeregowego neonówki, zobacz na rezystorze np 200k czy sprawa się poprawia, może to zależeć od konkretnej neonówki.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika gosc

nixie: fusebity

Witam
Mógłbym prosić o screena z fusebitami z programu bascom avr bo nie umiem ustawić w oparciu o powyzszy screen (początkujący). I jeszcze jak byś mogl to do termometra uniwersalnego tez.

Z góry dziekuje

pozdrawiam

Portret użytkownika mirley

Re: Zegar Nixie

Jak już mówiłem wielokrotnie nie ma możliwości podania zrzutu z Bascoma gdyż nie mam obecnie programatora STK200 aby taki ekran z fusebitami otrzymać. Zainstaluj sobie avrdude i burn-o-mat'a (opis w dziale artykuły różne, potem ustawisz według tego rysunku w opisie powżej). Jeśli na siłę chcesz to ustawić w bascomie to obok każdego ustawienia masz podane wartości CKSEL i SUT itp. Wystarczy wybrać w bascomie taką opcję która odpowiada takim samym wartością bitów. Zaznaczony "ptaszkiem" bit na rysunku powyżej to wartość 0

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika gość

Pliki źródłowe

Witam.Czy jest możliwość udostępnienia plików wynikowych z programu rysującego płytki??Pozdrawiam

Portret użytkownika mirley

Re: Pliki Nixie

proszę się odezwać na email

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Andrzej

pomiar czasu

Pytanie natury hardwarowej, dlaczego nie połaczył Pan wyprowdzenia INT układu RTC z linią np INT0 Atmegi, z tego co zauważyłem obecny pomiar czasu odbywa się w głównej mierze w oparciu o wewnętrzny counter i jest porównywany z tym odczytanym z RTC, możliwe że źle zintepretowałem kod.
Pozdrawiam

Portret użytkownika mirley

Re: nixie

Nie ma żadnego wewnętrznego licznika.... poprostu co 0,5s czytam czas z RTC, przerwanie mi do niczego nie potrzebne w takim układzie....

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika R2rrO

Nstawianie godziny

Czy jest możliwość zastosowania impulsatora zamiast tradycyjnych switch-y? Czy ingerencja w układ i kod będzie znaczna?

Portret użytkownika mirley

Re: Nixie

Jest taka możliwośc ale cały program trzeba przerobić. Ingerencja w układ sprowadzi się do podłaczenia impulsatora do pinów procesora.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika R2rrO

Rozumiem, że jesteś bardzo

Rozumiem, że jesteś bardzo zajęty ale czy w najbliższym czasie mógłbyś przerobić wsad do swojej konstrukcji lub chociaż wskazaniu gdzie i od czego należy zacząć aby zgar (np.6x nixie) mógłby być strojony w ten sposób? Jeśli chodzi po podłączenie pinów impulsatora byłyby to wyprowadzenia procesora np. PD1(INT0) PD2(INT1) ? Czy dobrze myślę?
Przepraszam za głupie pytania - dopiero zaczynam przygodę...

Portret użytkownika mirley

Re: nixie

Żeby dużo nie przerabiać należy dopiąc impulsator w miejsce klawiatury i skorzystać z procedury do obsługi impulsatora (w kodach źródłowych). Sam pomyslę o tym dopiero w październiku jak odwalę wszystkie zaległości.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Jadan

Zegar nie odmierza czasu

Witam!

Dzisiaj zmontowałem zegar, ale mam następujący problem: cyfry się wyświetlają, neonówka miga, da się zmienić godzinę, ale zegar nie odmierza czasu, cały czas wyświetla te same cyfry. Gdzie może tkwić problem?

Pozdrawiam, Adam

Portret użytkownika mirley

Re: Zegar Nixie

Szukaj problemu przy układzie U2 (zegar RTC). Wygląda na to że brak komunikacji z układem, może przerwana ścieżka albo zwarcie na jego pinach SCL i SDA. Poza tym sprawdź zasilanie układu U2, poprawnośc kwarcu i polaryzację diody D1.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Jadan

Zegar nie odmierza czasu - problem rozwiązany

Witam!

Teraz zegar już działa, okazało się, że zamiast kwarcu 32768Hz użyłem 3,2768Mhz. Dziękuję za pomoc i pozdrawiam.

Adam

Portret użytkownika gosc

Jasność lampy

Witam

Zegar złożyłem i jest ok lecz mam wrażenie,że wersja Twoja ma wiekszą wyrazistość i jasność świecenia.Na przetwornicy ustawiłem 155 V i wartości rezystorów są z projektu a napięciem boje się podwyzszać(do jakiej wartości moge?)Co moge zrobić dalej??

Portret użytkownika R2rrO

Napisz jakich lamp użyłeś, a

Napisz jakich lamp użyłeś, a najlepiej sprawdź w notach katalogowych na jakich napięciach pracują i jakich rezystorów należy użyć. 155V może się okazać za mało, niektóre lampy pracują np na 170V. Ale to juz znajdziesz w notach katalogowych.

Portret użytkownika mirley

Re: Lampy

MOżesz też poszukac informacji o prądzie jakim powinna byc zasilana lampa. Np LC513 ma około 2mA i zastosować taki rezystor szeregowy aby prąd był odpowiedni. Prąd łatwo wyznaczysz mierząc wcześniej opornośc rezystora (najlepiej dokładnie) po czym mierząc spadek napięcia na nim określisz prąd z prawa oma.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika gosc

Jaka dokładność odmierzania PCF ?

Witam.

Zrobiłem zegar na PCF8583 dałem ten C 33pF Ale czasu to nie odmierza. Błąd na jednej godzinie wynosi jakieś 12 min !!!

CO mi radzisz >?

Jak z dokładnością w twoim zegarze ???

Portret użytkownika mirley

Re: PCF

Dodałeś do płytki kondensator 100nF MKT, należy go wlutować od spodu płytki między nóżkami 4 i 8 układu U2. Bezpośrednio pod podstawką.

Bez tego kondensatora miałem taki sam efekt. Po dolutowaniu pojemności problem ustał, teraz działa dobrze.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika backspace13

PCF

Witam.
Posiadam zegarek zbudowany na Pańskim schemacie.
Niestety zaobserwowałem że późni się na dobę około 12-13 min.
Co mogę zrobić aby zlikwidować ten błąd?
Pozdrawiam

Portret użytkownika mirley

Re: Zegar Nixie

Po pierwsze poczytaj wcześniejsze odpowiedzi tam ten problem był juz poruszany. Niewielkie zmiany częstotliwości zegara można uzyskać poprzez zmianę kondensatora C3 ale wszystko to trzeba robić doświadczalnie. Układ PCF powinien mieć dolutowany kondensator filtrujący zasilanie (100nF) tuz obok nóżek zasilania.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika backspace13

Re: Zegar Nixie

Przeczytałem dokładnie artykuł i porady jakie w nim zamieszczono.
Niestety udało mi się zejść do około 10 min na dobę w opóźnieniu zegarka.
Kondensatorem C3 ( zastąpiłem go trymerem) ustawiłem taki czas około 1sek. na 2,5 min.
Co jeszcze mogę zrobić aby poprawić ten odczyt?

Portret użytkownika mirley

Re: Nixie

najważniejszy jest kondensator 100nF filtrujący zasilanie tuż przy nóżkach układu PCF. Jeśli to zrobiłeś to naprawdę trudno będzie zlokalizowac czemu układ tak liczy. Może byc to spowodowane wadliwym rezonatorem kwarcowym, który z jakichś powodów nie daje 32768Hz. może trymer nie ma takiej pojemności jak powinien, dołącz do niego równolegle niewielki kondensator kilka pF

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Poczatkujacy

Pytanie poczatkujacego: Czyli

Pytanie poczatkujacego:
Czyli ze schematow rozumiem ze sterowanie i przetwornice moge zasilic z zasilacza 9V i wydajnosci pradowej ok 1A?

Portret użytkownika mirley

Re: Zegar Nixie

Dokładnie tak. Wydajność prądowa nie jest chyba taka duża potrzebna ale zapas się przyda.

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika Seether

Dzisiaj pochodzilem po

Dzisiaj pochodzilem po wolumenie i kupilem wszystkie czesci na zegarek - wynioslo mnie mniej niz 100 zl. Same lampki kupilem po 5 zl/szt :)

Portret użytkownika tumeks

lampy

Witam,
czy można użyć lamp 516 zamiast 513?
pozdrawiam

Portret użytkownika mirley

Re: Lampy

Można zastosować inna lampę ale należy dostosować napięcie zasilania i prąd na podstawie danych http://www.tube-tester.com/sites/nixie/trade03-nixie-tubes.htm

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika dawid

Prośba o program

Witam.
Mam problem, czy jest możliwość przerobienia kodu programu z bascoma na C++.
Proszę o odpowiedź.

Pozdrawiam

Portret użytkownika mirley

Re; Zegar Nixie

napewno jest taka możliwość tylko ja nie znam C dla mikrokontrolerów :)

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.

Portret użytkownika luk

pytanie

Witam. Jaki należało by dać rezystor jeżeli zamieniłbym lampę na z560m. Próbowałem to sam wyliczyć i wyszło mi 77kohm.

Portret użytkownika mirley

Re: Lampa

Zakładając zę zasilanie wyniesie 135V to to jest dobra wartość rezystora. Lepiej jest podłączyc lampę do przetwornicy przez potencjometr i za pomocą miliamperomierza sprawdzić prąd aby wynosił 1,75mA dla twojej lampy. Zastosujesz potem taki rezystor jak wyszło z ustawionego potencjometru przy twojej wersji przetwornicy

-

UWAGA! Możliwy jest zakup zaprogramowanych uC i zestawów elementów itp. do niektórych projektów. O dostępność proszę pytać via email. Konkretne oferty pojawiają się w cenniku.